Updated on 2024/04/26

写真a

 
MASUDA Atsushi
 
Organization
Academic Assembly Institute of Science and Technology SEISAN DESIGN KOUGAKU KEIRETU Professor
Faculty of Engineering Department of Engineering Professor
Title
Professor
External link

Degree

  • 博士(工学) ( 1996.3   金沢大学 )

  • 修士(工学) ( 1992.3   金沢大学 )

  • 経済学士 ( 1990.3   京都大学 )

Research Interests

  • chemical vapor deposition

  • semiconductor

  • 化学気相成長

  • 半導体

Research Areas

  • Manufacturing Technology (Mechanical Engineering, Electrical and Electronic Engineering, Chemical Engineering) / Electric and electronic materials

  • Nanotechnology/Materials / Thin film/surface and interfacial physical properties

  • Nanotechnology/Materials / Crystal engineering

  • Nanotechnology/Materials / Applied physical properties

Research History (researchmap)

  • - Research Associate, School of Materials Science,

    1996

      More details

  • - 北陸先端科学技術大学院大学材料科学研究科 助手

    1996

      More details

  • 日本学術振興会 特別研究員

    1994 - 1996

      More details

  • Research Fellow of the Japan Society for

    1994 - 1996

      More details

  • the Promotion of Science

      More details

  • Japan Advanced Institute of Science and Technology

      More details

▶ display all

Research History

  • Niigata University   Faculty of Engineering Department of Engineering   Professor

    2020.4

Education

  • Kanazawa University   自然科学研究科   物質科学

    - 1996

      More details

    Country: Japan

    researchmap

  • Kanazawa University   Graduate School, Division of National Science and Technology

    - 1996

      More details

  • Kyoto University   Faculty of Economics   経営

    - 1990

      More details

    Country: Japan

    researchmap

  • Kyoto University   Faculty of Economics

    - 1990

      More details

 

Papers

  • Encapsulation-free crystalline silicon photovoltaic modules and their hygrothermal and thermal-cycle tolerance

    Nobuhito Imajo, Yo Yamakawa, Hiroaki Takahashi, Keisuke Ohdaira, Atsushi Masuda

    Japanese Journal of Applied Physics   62 ( SK )   SK1025 - SK1025   2023.4

     More details

    Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    Abstract

    A novel concept of unencapsulated modules was developed to avoid many degradation phenomena originating from encapsulants, reduce material costs, and also allow for both easy cell repair and easy recycling of modules. The reliability and durability of the novel concept modules were investigated using damp-heat (DH) testing, thermal-cycle (TC) testing, and sequential testing including DH and TC testing. No large reduction in maximum power after DH testing for 2700 h or TC testing for 1000 cycles was found for unencapsulated modules, irrespective of cell-connection method, cell spacing, or the existence of intentional microcracks. However, because of thermomechanical stress, unstable contact between interconnector ribbons and busbar electrodes was found after TC testing. Superiority of shingling connections was found for this novel concept of unencapsulated modules.

    DOI: 10.35848/1347-4065/acc8dd

    researchmap

    Other Link: https://iopscience.iop.org/article/10.35848/1347-4065/acc8dd/pdf

  • Second-stage potential-induced degradation of n-type front-emitter crystalline silicon photovoltaic modules and its recovery

    Keisuke OHDAIRA, Yutaka Komatsu, Seira Yamaguchi, Atsushi MASUDA

    Japanese Journal of Applied Physics   2023.4

     More details

    Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    Abstract

    We investigate the second-stage potential-induced degradation (PID) of n-type front-emitter (n-FE) crystalline silicon (c-Si) photovoltaic (PV) modules. The PID of n-FE c-Si PV modules is known to occur in three stages under negative bias stress. The second-stage PID is characterized by a reduction in fill factor (FF), due to the invasion of sodium (Na) into the depletion region of a p+–n junction and resulting increase in recombination current. The second-stage PID shows a curious independence on a negative bias voltage for the PID stress. This may indicate that the Na inducing the FF reduction comes not from the cover glass but originally exists on and/or near the cell surface. The FF reduction is recovered quite rapidly, within a few seconds, by applying a positive bias to the degraded cell. The recovered n-FE c-Si PV modules show more rapid degradation if they receive the negative bias stress again, which can be explained by Na remaining in the p+ emitter.

    DOI: 10.35848/1347-4065/accb60

    researchmap

    Other Link: https://iopscience.iop.org/article/10.35848/1347-4065/accb60/pdf

  • Potential-induced degradation of n-type front-emitter crystalline silicon photovoltaic modules — Comparison between indoor and outdoor test results

    Keisuke Ohdaira, Minoru Akitomi, Yasuo Chiba, Atsushi Masuda

    Solar Energy Materials and Solar Cells   249   112038 - 112038   2023.1

     More details

    Publishing type:Research paper (scientific journal)   Publisher:Elsevier BV  

    DOI: 10.1016/j.solmat.2022.112038

    researchmap

  • Mechanistic Understanding of Polarization‐Type Potential‐Induced Degradation in Crystalline‐Silicon Photovoltaic Cell Modules

    Seira Yamaguchi, Atsushi Masuda, Kazuhiro Marumoto, Keisuke Ohdaira

    Advanced Energy and Sustainability Research   2200167 - 2200167   2022.12

     More details

    Publishing type:Research paper (scientific journal)   Publisher:Wiley  

    DOI: 10.1002/aesr.202200167

    researchmap

    Other Link: https://onlinelibrary.wiley.com/doi/full-xml/10.1002/aesr.202200167

  • Polarization-Type Potential-Induced Degradation in Front-Emitter p-Type and n-Type Crystalline Silicon Solar Cells

    Seira Yamaguchi, Sachiko Jonai, Kyotaro Nakamura, Kazuhiro Marumoto, Yoshio Ohshita, Atsushi Masuda

    ACS Omega   2022.10

     More details

    Publishing type:Research paper (scientific journal)   Publisher:American Chemical Society (ACS)  

    DOI: 10.1021/acsomega.2c03866

    researchmap

  • Effects of SiN <sub> <i>x</i> </sub> refractive index and SiO <sub>2</sub> thickness on polarization‐type potential‐induced degradation in front‐emitter n‐type crystalline‐silicon photovoltaic cell modules

    Seira Yamaguchi, Kyotaro Nakamura, Taeko Semba, Keisuke Ohdaira, Kazuhiro Marumoto, Yoshio Ohshita, Atsushi Masuda

    Energy Science &amp; Engineering   10 ( 7 )   2268 - 2275   2022.3

     More details

    Publishing type:Research paper (scientific journal)   Publisher:Wiley  

    DOI: 10.1002/ese3.1135

    researchmap

    Other Link: https://onlinelibrary.wiley.com/doi/full-xml/10.1002/ese3.1135

  • Effect of temperature and pre-annealing on the potential-induced degradation of silicon heterojunction photovoltaic modules

    Jiaming Xu, Huynh Thi Cam Tu, Atsushi MASUDA, Keisuke OHDAIRA

    Japanese Journal of Applied Physics   61 ( SC )   SC1021 - SC1021   2021.12

     More details

    Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    <title>Abstract</title>
    We investigate the effect of temperature and pre-annealing on the potential-induced degradation (PID) of silicon heterojunction (SHJ) photovoltaic (PV) modules. SHJ PV modules show a faster decrease in short-circuit current density (Jsc) at higher temperatures during PID tests. We also observe a complex relationship between the degree of the Jsc decrease and temperature during the PID tests. Pre-annealing before the PID tests at sufficiently high temperatures leads to the complete suppression of the PID of SHJ PV modules. The decrease in Jsc is known to be due to the chemical reduction of indium (In) in transparent conductive oxide (TCO) films in SHJ cells, in which water (H2O) in SHJ modules is involved. These indicate that H2O may out-diffuse from the SHJ PV modules during a PID test or pre-annealing at sufficiently high temperatures, by which the chemical reduction of indium in TCO into metallic In is suppressed.

    DOI: 10.35848/1347-4065/ac3f6e

    researchmap

    Other Link: https://iopscience.iop.org/article/10.35848/1347-4065/ac3f6e/pdf

  • Potential‐Induced Degradation in High‐Efficiency n‐Type Crystalline‐Silicon Photovoltaic Modules: A Literature Review

    Seira Yamaguchi, Bas B. Van Aken, Atsushi Masuda, Keisuke Ohdaira

    Solar RRL   5 ( 12 )   2100708 - 2100708   2021.11

     More details

    Publishing type:Research paper (scientific journal)   Publisher:Wiley  

    DOI: 10.1002/solr.202100708

    researchmap

    Other Link: https://onlinelibrary.wiley.com/doi/full-xml/10.1002/solr.202100708

  • Influence of light irradiation on the charge-accumulation-type potential-induced degradation of n-type front-emitter crystalline Si photovoltaic modules

    Rongrong Zhao, Huynh Thi Cam Tu, Atsushi MASUDA, Keisuke OHDAIRA

    Japanese Journal of Applied Physics   61 ( SB )   SB1023 - SB1023   2021.9

     More details

    Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    Abstract

    We investigated the influence of light irradiation on the charge-accumulation-type potential-induced degradation (PID) of n-type front-emitter (n-FE) crystalline silicon (c-Si) photovoltaic (PV) modules. A PID test under one-sun irradiation leads to faster reductions of short-circuit current–density (J<sub>sc</sub>) and open-circuit voltage (V<sub>oc</sub>) compared to the case of a PID test in the dark. This indicates that light irradiation accelerates the charge-accumulation-type PID of the n-FE PV modules. The J<sub>sc</sub> and V<sub>oc</sub> reductions become slower under irradiation without ultraviolet (UV) light, showing almost the same time dependence as the PID test in the dark. The acceleration of PID by the addition of UV light may be explained by the excitation of electrons at K<sup>0</sup> centers in silicon nitride (SiN<sub>x</sub>) and their faster drift to the surface by the electric field applied to SiN<sub>x</sub>.

    DOI: 10.35848/1347-4065/ac279f

    researchmap

    Other Link: https://iopscience.iop.org/article/10.35848/1347-4065/ac279f/pdf

  • Effects of passivation configuration and emitter surface doping concentration on polarization-type potential-induced degradation in n-type crystalline-silicon photovoltaic modules

    Seira Yamaguchi, Bas B. Van Aken, Maciej K. Stodolny, Jochen Löffler, Atsushi Masuda, Keisuke Ohdaira

    Solar Energy Materials and Solar Cells   226   111074 - 111074   2021.7

     More details

    Publishing type:Research paper (scientific journal)   Publisher:Elsevier BV  

    DOI: 10.1016/j.solmat.2021.111074

    researchmap

  • Influence of light illumination on the potential-induced degradation of n-type interdigitated back-contact crystalline Si photovoltaic modules

    Yuansong Xu, Atsushi MASUDA, Keisuke OHDAIRA

    Japanese Journal of Applied Physics   60 ( SB )   SBBF08 - SBBF08   2021.1

     More details

    Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    Abstract

    We investigate the potential-induced degradation (PID) of n-type interdigitated back-contact (IBC) crystalline Si (c-Si) photovoltaic (PV) modules under a negative bias stress and the influence of light illumination on the PID. IBC PV modules show PID characterized by a reduction in the short-circuit current density (J<sub>sc</sub>) and open-circuit voltage (V<sub>oc</sub>) under negative bias stress, while no fill factor (FF) reduction is observed. The degradation may originate from the introduction of sodium (Na) into c-Si and the resulting enhancement of carrier recombination on the surfaces of the IBC cells. Light illumination of 1 sun during the negative bias PID test results in less severe reductions of J<sub>sc</sub> and V<sub>oc</sub>. A reduction in the electric field on the surface Si nitride (SiN<sub>x</sub>) film, due to carrier generation in the SiN<sub>x</sub> and the resulting increase in its conductivity, is a possible explanation for the mitigation of the Na-related PID.

    DOI: 10.35848/1347-4065/abd9cf

    researchmap

    Other Link: https://iopscience.iop.org/article/10.35848/1347-4065/abd9cf/pdf

  • Potential-induced degradation in photovoltaic modules composed of interdigitated back contact solar cells in photovoltaic systems under actual operating conditions

    Tetsuyuki Ishii, Sungwoo Choi, Ritsuko Sato, Yasuo Chiba, Atsushi Masuda

    PROGRESS IN PHOTOVOLTAICS   28 ( 12 )   1322 - 1332   2020.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:WILEY  

    Polarization-type potential-induced degradation (PID-p) of photovoltaic (PV) modules composed of n-type interdigitated back contact (IBC) solar cells can decrease the performance of the PV modules under positive potential conditions. The purpose of this study is to observe and describe the reduction and stabilization of the electrical performance of every PV module installed in an operating PV system with the progress of the PID-p over a time duration of 6 years. The direct current circuit of the investigated PV system is not grounded. Therefore, all the PV strings are under floating potential conditions. The results show that the performance of the n-type IBC PV modules exhibits a two-step degradation. In the first step of degradation, the PV performance at positive potential decreases with increasing the PV module potential, whereas the PV modules at negative potential show little performance degradation. The PV performance reduction due to PID-p is mainly caused by the decreases in both open-circuit voltage (V-OC) and short circuit current (I-SC). A PV performance reduction, which principally arises from the decrease inI(SC), is observed at every PV module in the PV strings regardless of the PV module potential in the second step of degradation. Therefore, solar cell manufacturers who produce n-type IBC, as well as those producing passivated emitters and rear totally diffused solar cells, are advised that processes to prevent the PID-p are required.

    DOI: 10.1002/pip.3329

    Web of Science

    researchmap

  • Effect of a silicon nitride film on the potential-induced degradation of n-type front-emitter crystalline silicon photovoltaic modules

    Tomoyasu Suzuki, Atsushi Masuda, Keisuke Ohdaira

    Japanese Journal of Applied Physics   59 ( 10 )   104002 - 104002   2020.10

     More details

    Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.35848/1347-4065/abb39e

    researchmap

    Other Link: https://iopscience.iop.org/article/10.35848/1347-4065/abb39e/pdf

  • Influence of emitter position of silicon heterojunction photovoltaic solar cell modules on their potential-induced degradation behaviors Reviewed

    Seira Yamaguchi, Chizuko Yamamoto, Yoshio Ohshita, Keisuke Ohdaira, Atsushi Masuda

    Solar Energy Materials and Solar Cells   216   110716 - 110716   2020.10

     More details

    Publishing type:Research paper (scientific journal)   Publisher:Elsevier BV  

    DOI: 10.1016/j.solmat.2020.110716

    researchmap

  • Influence of hygrothermal stress on potential-induced degradation for homojunction and heterojunction crystalline Si photovoltaic modules Reviewed

    Atsushi MASUDA, Chizuko Yamamoto, Yukiko Hara, Sachiko Jonai, Yasushi Tachibana, Takeshi Toyoda, Toshiharu Minamikawa, Seira Yamaguchi, Keisuke OHDAIRA

    Japanese Journal of Applied Physics   2020.6

     More details

    Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.35848/1347-4065/ab9a8a

    researchmap

    Other Link: https://iopscience.iop.org/article/10.35848/1347-4065/ab9a8a/pdf

  • Influence of backsheet materials on potential-induced degradation in n-type crystalline-silicon photovoltaic cell modules Reviewed

    Seira Yamaguchi, Chizuko Yamamoto, Atsushi Masuda, Keisuke Ohdaira

    Japanese Journal of Applied Physics   58   120901   2019.12

     More details

    Publishing type:Research paper (scientific journal)   Publisher:Japan Society of Applied Physics  

    DOI: 10.7567/1347-4065/ab4fd2

    researchmap

  • Effect of additives in electrode paste of p-type crystalline Si solar cells on potential-induced degradation Reviewed

    Sachiko Jonai, Aki Tanaka, Kazuo Muramatsu, Genki Saito, Kyotaro Nakamura, Atsushi Ogura, Yoshio Ohshita, Atsushi Masuda

    Solar Energy   188   1292 - 1297   2019.8

     More details

    Publishing type:Research paper (scientific journal)  

    © 2019 Sodium (Na) and lithium (Li) in the silver (Ag) paste cause the potential-induced degradation (PID), while the PID of p-type crystalline silicon (Si) photovoltaic modules is caused by Na in the front cover glass. Some Ag pastes contain these elements to control the firing properties in solar cells fabrication. In order to eliminate the effect of Na and other elements in the front cover glass on PID, PID tests for crystalline Si photovoltaic modules without front cover glass and using cells with various electrode pastes of controlled additive contents were performed. When the Ag paste with Na is used, the shunt resistance decreases and the PID occurs. This phenomenon is similar to that induced by Na in the front cover glass, but, it requires the shorter time duration as compared with the case of Na in the front cover glass. In addition, it is found that Li in the paste also causes the PID equivalent to that by Na in the paste.

    DOI: 10.1016/j.solener.2019.07.012

    Scopus

    researchmap

  • Influence of sodium on the potential-induced degradation for n-type crystalline silicon photovoltaic modules Reviewed

    Keisuke Ohdaira, Yutaka Komatsu, Tomoyasu Suzuki, Seira Yamaguchi, Atsushi Masuda

    Applied Physics Express   12   064004   2019.5

     More details

    Publishing type:Research paper (scientific journal)   Publisher:Japan Society of Applied Physics  

    DOI: 10.7567/1882-0786/ab1b1a

    researchmap

  • Rapid progression and subsequent saturation of polarization-type potential-induced degradation of n-type front-emitter crystalline-silicon photovoltaic modules Reviewed

    Seira Yamaguchi, Kyotaro Nakamura, Atsushi Masuda, Keisuke Ohdaira

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 ( 12 )   122301 - 122301   2018.11

     More details

    Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.7567/JJAP.57.122301

    researchmap

    Other Link: http://stacks.iop.org/1347-4065/57/i=12/a=122301?key=crossref.5485a894772dfb5c9216eef15e093937

  • Performance degradation due to outdoor exposure and seasonal variation in amorphous silicon photovoltaic modules Reviewed

    Sungwoo CHOI, Tetsuyuki ISHII, Ritsuko SATO, Yasuo CHIBA, Atsushi MASUDA

    Thin Solid Films   661   116 - 121   2018.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2018.07.017

    researchmap

  • Sodium distribution at the surface of silicon nitride film after potential-induced degradation test and recovery test of photovoltaic modules

    Fumitaka Ohashi, Yoshiki Mizuno, Hiroki Yoshida, Hiroya Kosuga, Taishi Furuya, Ryo Fuseya, Ruben Jerónimo Freitas, Yukiko Hara, Atsushi Masuda, Shuichi Nonomura

    Japanese Journal of Applied Physics   57 ( 8 )   2018.8

     More details

    Publishing type:Research paper (international conference proceedings)  

    © 2018 The Japan Society of Applied Physics. Migration routes of Na ions towards the surface and into SiNx films of Si cells during the potential-induced degradation (PID) test were analyzed by microscale measurements such as X-ray photoelectron spectroscopy, scanning electron microscopy, energy dispersive X-ray spectroscopy, and conductive atomic force microscopy. These measurements showed the appearance of high Na concentrations near the finger electrodes and at the top of texture structures of the SiNx film surface. However, a high current conductivity of SiNx films was observed at halfway between two finger electrodes and at the top of texture structures. These results suggest that focusing of electric fields originating from finger electrodes and the shape of texture structures affected the Na distributions and migration into the SiNx films. The influence of the PID recovery test on the Na ion migration and SiNx films is also discussed in the paper.

    DOI: 10.7567/JJAP.57.08RG05

    Scopus

    researchmap

  • Localization and characterization of a degraded site in crystalline silicon photovoltaic cells exposed to acetic acid vapor Reviewed

    Tadanori Tanahashi, Norihiko Sakamoto, Hajime Shibata, Atsushi Masuda

    IEEE Journal of Photovoltaics   8 ( 4 )   997 - 1004   2018.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE Electron Devices Society  

    To examine the mechanisms of degradation owing to liberated organic acid from polymer materials in crystalline silicon (c-Si) photovoltaic (PV) modules, we attempt to characterize the degraded site in a PV cell exposed to acetic acid vapor by means of ac impedance spectroscopy. The location was electrically determined at the interface between the front electrodes and emitter of a silicon wafer. Concerning this interface, two distinct electric characteristics were identified as follows: 1) the contact in this interface can rectify the current in the same direction as the p-n junction of a p-type PV cell, and 2) any linear relationship in the Mott-Schottky plot was not confirmed in the capacitance component placed in this interface, unlike in the case of that located in the p-n junction. From these characteristics, the inclusion of a layer with a voltage-independent capacitance in this interface, an inhomogeneous depth profile of impurities within the near-surface of the n+-Si layer of this contact, and/or the existence of peculiar surface/interface states in this interface were deduced. It is concluded that a crucial electrical feature involved in the degradation of c-Si PV cells/modules under acidic conditions is verified with regard to these characteristics.

    DOI: 10.1109/JPHOTOV.2018.2839259

    Scopus

    researchmap

  • Multistage performance deterioration in n-type crystalline silicon photovoltaic modules undergoing potential-induced degradation Reviewed

    Yutaka Komatsu, Seira Yamaguchi, Atsushi Masuda, Keisuke Ohdaira

    Microelectronics Reliability   84   127 - 133   2018.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Elsevier Ltd  

    This study addresses the behavior of n-type front-emitter (FE) crystalline-silicon (c-Si) photovoltaic (PV) modules in potential-induced degradation (PID) tests with a long duration of up to 20 days. By PID tests where a negative bias of −1000 V was applied at 85 °C to 20 × 20-mm2-sized n-type FE c-Si PV cells in modules, the short-circuit current density (Jsc) and the open-circuit voltage (Voc) started to be decreased within 10 s, and strongly saturates within approximately 120 s, resulting in a reduction in the maximum output power (Pmax) and its saturation. After the saturation, all the parameters were almost unchanged until after 1 h. However, the fill factor (FF) then started to decrease and saturated again. After approximately 48 h, FF further decreased again, accompanied by a reduction in Voc. The first degradation is known to be due to an increase in the surface recombination of minority carriers by the accumulation of additional positive charges in the front Si nitride (SiNx) films. The second and third degradations may be due to significant increases in recombination in the space charge region. The enhancement in recombination in the space charge region may be due to additional defect levels of sodium (Na) introduced into the space charge region in the p–n junction. We also performed recovery tests by applying a positive bias of +1000 V. The module with the first degradation completely recovered its performance losses, and the module with the second degradation was almost completely recovered. On the contrary, the modules with the third degradation could not be recovered. These findings may improve the understanding of the reliability of n-type FE c-Si PV modules in large-scale PV systems.

    DOI: 10.1016/j.microrel.2018.03.018

    Scopus

    researchmap

  • Comprehensive study of potential-induced degradation in silicon heterojunction photovoltaic cell modules Reviewed

    Seira Yamaguchi, Chizuko Yamamoto, Keisuke Ohdaira, Atsushi Masuda

    Progress in Photovoltaics: Research and Applications   26   697 - 708   2018.4

     More details

  • Guiding principle for crystalline Si photovoltaic modules with high tolerance to acetic acid Reviewed

    Atsushi Masuda, Yukiko Hara

    Japanese Journal of Applied Physics   57 ( 4 )   2018.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Japan Society of Applied Physics  

    A guiding principle for highly reliable crystalline Si photovoltaic modules, especially those with high tolerance to acetic acid generated by hydrolysis reaction between water vapor and an ethylene-vinyl acetate (EVA) encapsulant, is proposed. Degradation behavior evaluated by the damp heat test strongly depends on Ag finger electrodes and also EVA encapsulants. The acetic acid concentration in EVA on the glass side directly determines the degradation behavior. The most important factor for high tolerance is the type of Ag finger electrode materials when using an EVA encapsulant. Photovoltaic modules using newly developed crystalline Si cells with improved Ag finger electrode materials keep their maximum power of 80% of the initial value even after the damp heat test at 85°C and 85% relative humidity for 10000h. The pattern of dark regions in electroluminescence images is also discussed on the basis of the dynamics of acetic acid in the modules.

    DOI: 10.7567/JJAP.57.04FS06

    Scopus

    researchmap

  • Durable crystalline Si photovoltaic modules based on silicone-sheet encapsulants Reviewed

    Kohjiro Hara, Hiroto Ohwada, Tomoyoshi Furihata, Atsushi Masuda

    Japanese Journal of Applied Physics   57 ( 2 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japan Society of Applied Physics  

    Crystalline Si photovoltaic (PV) modules were fabricated with sheets of poly(dimethylsiloxane) (silicone) as an encapsulant. The long-term durability of the silicone-encapsulated PV modules was experimentally investigated. The silicone-based modules enhanced the long-term durability against potential-induced degradation (PID) and a damp-heat (DH) condition at 85 °C with 85% relative humidity (RH). In addition, we designed and fabricated substrate-type Si PV modules based on the silicone encapsulant and an Al-alloy plate as the substratum, which demonstrated high impact resistance and high incombustible performance. The high chemical stability, high volume resistivity, rubber-like elasticity, and incombustibility of the silicone encapsulant resulted in the high durability of the modules. Our results indicate that silicone is an attractive encapsulation material, as it improves the long-term durability of crystalline Si PV modules.

    DOI: 10.7567/JJAP.57.027101

    Scopus

    researchmap

  • Bending cyclic load test for crystalline silicon photovoltaic modules Reviewed

    Soh Suzuki, Takuya Doi, Atsushi Masuda, Tadanori Tanahashi

    Japanese Journal of Applied Physics   57 ( 2 )   2018.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Japan Society of Applied Physics  

    The failures induced by thermomechanical fatigue within crystalline silicon photovoltaic modules are a common issue that can occur in any climate. In order to understand these failures, we confirmed the effects of compressive or tensile stresses (which were cyclically loaded on photovoltaic cells and cell interconnect ribbons) at subzero, moderate, and high temperatures. We found that cell cracks were induced predominantly at low temperatures, irrespective of the compression or tension applied to the cells, although the orientation of cell cracks was dependent on the stress applied. The fracture of cell interconnect ribbons was caused by cyclical compressive stress at moderate and high temperatures, and this failure was promoted by the elevation of temperature. On the basis of these results, the causes of these failures are comprehensively discussed in relation to the viscoelasticity of the encapsulant.

    DOI: 10.7567/JJAP.57.02CE05

    Scopus

    researchmap

  • Annual degradation rates of recent crystalline silicon photovoltaic modules Reviewed

    Tetsuyuki Ishii, Atsushi Masuda

    Progress in Photovoltaics: Research and Applications   25 ( 12 )   953 - 967   2017.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:John Wiley and Sons Ltd  

    Long-term reliability and durability of recently installed photovoltaic (PV) systems are currently unclear because they have so far only been operated for short periods. Here, we investigated the quality of six types of recent crystalline silicon PV modules to study the viability of PV systems as dispersed power generation systems under operating conditions connected to an electric power grid. Three indicators were used to estimate the annual degradation rates of the various crystalline silicon PV modules: energy yield, performance ratio, and indoor power. Module performance was assessed both with indoor and outdoor measurements using electric measurements taken over a 3-year period. The trends in the results of the three indicators were almost consistent with each other. Although the performance of the newly installed PV modules decreased by over 2% owing to initial light-induced degradation immediately after installation, little to no degradation was observed in all the PV modules composed of p-type solar cells over a 3-year operation period. However, the PV modules composed of n-type solar cells clearly displayed performance degradation originating from the reduction of open-circuit voltage or potential-induced degradation. The results indicate that a more continuous and detailed outdoor actual investigation is important to study the quality of new, high-efficiency solar cells, such as heterojunction, interdigitated back contact solar cells, and passivated emitter rear cells, which are set to dominate the PV markets in the future. © 2017 The Authors. Progress in Photovoltaics: Research and Applications published by John Wiley &amp
    Sons Ltd.

    DOI: 10.1002/pip.2903

    Scopus

    researchmap

  • Causes of Degradation Identified by the Extended Thermal Cycling Test on Commercially Available Crystalline Silicon Photovoltaic Modules Reviewed

    Shinji Kawai, Tadanori Tanahashi, Yutaka Fukumoto, Fujio Tamai, Atsushi Masuda, Michio Kondo

    IEEE Journal of Photovoltaics   7 ( 6 )   1511 - 1518   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE Electron Devices Society  

    To assess the contribution of the thermomechanical stress on the long-term reliability of photovoltaic (PV) modules, we applied extended thermal cycling tests up to 600 cycles to 13 models of commercially available PV modules. The extensive testing, using 5-10 PV modules for each model, revealed that the levels of power loss, induced by thermal fatigue during this extended testing, differed in each model of the PV module. Degradations by solder bond failure and bypass diode failure, which were observed in a few models of PV modules, were most likely to result from incorrect soldering that may be completely avoidable through appropriate implementation of a quality management system. Therefore, we suggest that, in most of the latest PV modules, their durability to thermal fatigue was established, as evidenced by the extended thermal cycling test with 600 cycles. However, solder bond failure due to inappropriate manufacturing process quality, which is not identifiable by the conventional thermal cycling test (200 cycles), would be detected by this extended test in a few models. Based on these suggestions, we discuss the evaluation procedures of these failures caused by the quality-control and design issues of PV modules.

    DOI: 10.1109/JPHOTOV.2017.2741102

    Scopus

    researchmap

  • Time-dependent changes in copper indium gallium (di)selenide and cadmium telluride photovoltaic modules due to outdoor exposure

    Choi Sungwoo, Sato Ritsuko, Ishii Tetsuyuki, Chiba Yasuo, Masuda Atsushi

    Jpn. J. Appl. Phys.   56 ( 8 )   08MD06   2017.7

     More details

    Language:English   Publisher:Institute of Physics  

    The performance of photovoltaic (PV) modules deteriorates with time due to outdoor exposure. We investigated the time-dependent changes in PV modules and evaluated the amount of power generated during their lifetime. Once a year, the exposed modules were removed and measured under standard test conditions using a solar simulator. Their outputs were measured indoors and normalized to nominal values. In addition, the relationship between the indoor measurement and the energy yield for thin-film PV modules will be reported. In CIGS PV modules, the normalized maximum power (P<inf>MAX</inf>) and performance ratio (PR) differ with the type of module. The P<inf>MAX</inf>and PR of CdTe PV modules significantly decrease after outdoor exposure for three years. These results help to determine the characteristics of the time-dependent changes in the P<inf>MAX</inf>of PV modules due to outdoor exposure.

    DOI: 10.7567/JJAP.56.08MD06

    CiNii Article

    researchmap

  • Influence of surface structure of n-type single-crystalline Si solar cells on potential-induced degradation Reviewed

    Kohjiro Hara, Kinichi Ogawa, Yusuke Okabayashi, Hiroyuki Matsuzaki, Atsushi Masuda

    SOLAR ENERGY MATERIALS AND SOLAR CELLS   166   132 - 139   2017.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE BV  

    Potential-induced degradation (PID) in photovoltaic (PV) modules based on n-type single-crystalline Si solar cells (a bifacial cell, interdigitated back contact cells, and a hetero junction (HJ) cell) was experimentally investigated by applying high voltages to the modules. The power output of a PV module with an n-type bifacial-front junction (FJ) Si solar cell decreased by about 17% by applying 1000 Vat 85 degrees C for 10 min, whereas no degradation was observed by applying +1000 V at 85 degrees C for 10 min. The spectrum of external quantum efficiency and transient absorption kinetics of the module after PID tests indicated that surface charge recombination between electron and hole on the Si cell was enhanced. ND in n-type Si PV modules can be approximately explained by surface polarization enhancing front surface recombination on Si cells, which corresponds to a loss of passivation effect by a silicon nitride (SiNx) layer. On the other hand, no PID was observed in a PV module with an n-type bifacial-rear junction (RJ) Si solar cell and a commercial PV module based on an HJ cell by applying both positive and negative voltages. High conductive layers of transparent conductive oxide (TCO) on the top of HJ Si solar cells would significantly effective to suppress PID in n-type Si PV modules.

    DOI: 10.1016/j.solmat.2017.03.018

    Web of Science

    researchmap

  • Potential-induced degradation of thin-film Si photovoltaic modules Reviewed

    Atsushi Masuda, Yukiko Hara

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 ( 4 )   2017.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP PUBLISHING LTD  

    Potential-induced degradation (PID) of thin-film Si photovoltaic (PV) modules was investigated. The characteristics of PID phenomena of thin-film Si PV modules are markedly different from those of crystalline Si PV modules. Not only performance loss but also linear-shape and spot-shape delamination was observed after negative voltage application. Recovery from PID was also observed after positive voltage application. However, rapid progression of PID was found after the second negative voltage application after recovery from the initial PID. The root cause of PID of thin-film Si PV modules is thought to be the delamination between a transparent conductive oxide film and a glass substrate. Such degradation accompanied by delamination was also observed in thin-film Si PV modules exposed outside for about 5 years. (C) 2017 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.56.04CS04

    Web of Science

    researchmap

  • Reduction in the short-circuit current density of silicon heterojunction photovoltaic modules subjected to potential-induced degradation tests Reviewed

    Seira Yamaguchi, Chizuko Yamamoto, Keisuke Ohdaira, Atsushi Masuda

    Solar Energy Materials and Solar Cells   161   439 - 443   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Elsevier B.V.  

    This letter deals with the potential-induced degradation (PID) of silicon heterojunction (SHJ) photovoltaic (PV) modules. After rapid indoor PID tests applying a voltage of −1000 V at 85 °C, the modules exhibited a significant reduction in short-circuit current density (Jsc). On the other hand, the dark current density–voltage characteristics of the modules were intact after the PID tests, indicating that the reduction in Jsc is attributed not to carrier recombination but to optical loss. A degraded module slightly recovered its performance loss upon applying a positive bias but complete recovery was not observed, showing that the PID of SHJ PV modules is not reversible. A module with an ionomer encapsulant showed high PID resistance, revealing that the degradation of SHJ PV modules can be prevented by the use of ionomer encapsulants.

    DOI: 10.1016/j.solmat.2016.12.027

    Scopus

    researchmap

  • Effect of light irradiation and forward bias during PID tests of CIGS PV modules Reviewed

    Hiroshi Tomita, Kinichi Ogawa, Darshan Schmitz, Hajime Shibata, Shuuji Tokuda, Atsushi Masuda

    Proceedings of SPIE - The International Society for Optical Engineering   10370   2017

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:SPIE  

    We have conducted potential induced degradation (PID) tests on CIGS photovoltaic (PV) modules with/without LED white light irradiation. Suppression of PID degradation was observed by light irradiation.

    DOI: 10.1117/12.2275348

    Scopus

    researchmap

  • Microscopic aspects of potential-induced degradation phenomena and their recovery processes for p-type crystalline Si photovoltaic modules Reviewed

    Atsushi Masuda, Minoru Akitomi, Masanao Inoue, Keizo Okuwaki, Atsuo Okugawa, Kiyoshi Ueno, Toshiharu Yamazaki, Kohjiro Hara

    Current Applied Physics   16 ( 12 )   1659 - 1665   2016.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Elsevier B.V.  

    Processes for potential-induced degradation (PID) and recovery phenomena were characterized using p-type multicrystalline Si photovoltaic modules and by PID test method using Al plate. Very severe PID phenomena accompanied with a drastic reduction in both open-circuit voltage and shunt resistance were observed within only several hours. It was found that PID phenomena are strongly accelerated at higher temperature and under higher negative-voltage application, on the other hand, PID phenomena do not necessarily require high humidity in this test method using Al plate. Na diffusion from the cover glass to the Si cell was observed after PID test. Recovery process from PID was also observed by applying positive voltage. However, complete recovery of photovoltaic performances was observed at room temperature in the dark without positive-voltage application for test modules with PID although recovery process requires a few hundred days.

    DOI: 10.1016/j.cap.2016.10.001

    Scopus

    researchmap

  • Potential-induced degradation behavior of n-type single-crystalline silicon photovoltaic modules with a rear-side emitter Reviewed

    Seira Yamaguchi, Atsushi Masuda, Keisuke Ohdaira

    Conference Record of the IEEE Photovoltaic Specialists Conference   2016-   938 - 942   2016.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    This paper deals with the behavior of the potential-induced degradation (PID) of n-type single-crystalline silicon photovoltaic (PV) modules with a rear-side emitter. The n-type rear-emitter modules were fabricated by encapsulating n-type bifacial solar cells with the p+-emitter side down. The modules show degradation mainly characterized by decreases in the open-circuit voltage (Voc) and the fill factor (FF), under negative bias. The degradation is saturated within 1 h, and normalized Voc decreases to approximately 0.96. Their dark current density-voltage (J-V) data and external quantum efficiencies (EQEs) indicate that the drop in Voc is caused by an increase in the saturation current density due to the enhanced surface recombination of minority carriers. The degree of the degradation of PV performance is not, however, considerably severe in the n-type rear-emitter c-Si PV modules, and they are relatively resistant to PID compared to other types of PV modules. This may become an advantage of the n-type rear-emitter c-Si PV modules particularly for the usage in very large-scale PV systems.

    DOI: 10.1109/PVSC.2016.7749748

    Scopus

    researchmap

  • Progression of rapid potential-induced degradation of n-type single-crystalline silicon photovoltaic modules Reviewed

    Seira Yamaguchi, Atsushi Masuda, Keisuke Ohdaira

    Applied Physics Express   9 ( 11 )   2016.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japan Society of Applied Physics  

    This study addresses progression of potential-induced degradation (PID) of photovoltaic modules using n-type single-crystalline silicon cells. In a PID test in which a voltage of -1000 V was applied to the cells, the modules started to degrade within 10 s and the degradation saturated within 120 s, suggesting that PID is caused by positive charge accumulation in the front passivation films. We propose that these positive charges originate from positively charged K centers formed by extracting electrons from the K centers, which explains the rapid degradation and its saturation behavior. We obtain simulated and experimental results supporting this hypothesis.

    DOI: 10.7567/APEX.9.112301

    Scopus

    researchmap

  • Changes in the current density-voltage and external quantum efficiency characteristics of n-type single-crystalline silicon photovoltaic modules with a rear-side emitter undergoing potential-induced degradation Reviewed

    Seira Yamaguchi, Atsushi Masuda, Keisuke Ohdaira

    Solar Energy Materials and Solar Cells   151   113 - 119   2016.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Elsevier B.V.  

    This study addresses the potential-induced degradation (PID) of n-type single-crystalline silicon (sc-Si) photovoltaic (PV) modules with a rear-side emitter. The n-type rear-emitter module configurations were fabricated using n-type bifacial sc-Si solar cells by module lamination with the p+ emitter side down. After the PID tests applying -1000 V, the modules show a rapid decrease in the open-circuit voltage (Voc), followed by relatively slower reductions in the fill factor and the short-circuit current density (Jsc). Their dark current density-voltage (J-V) data and external quantum efficiencies (EQEs) indicate that the drop in Voc is caused by an increase in the saturation current density due to the enhanced surface recombination of minority carriers. In contrast, the modules exhibit slight degradation under +1000 V, which is characterized by only slight decreases in Voc and Jsc. The EQE measurement reveals that these decreases are also attributed to the enhanced surface recombination of minority carriers. This behavior is almost identical to that of the polarization effect in n-type interdigitated back contact PV modules reported in a previous study. By comparing the PID resistance with that of other types of modules, the n-type rear-emitter PV modules are relatively resistant to PID. This may become an advantage of the n-type rear-emitter PV modules.

    DOI: 10.1016/j.solmat.2016.03.003

    Scopus

    researchmap

  • Effects of UV on power degradation of photovoltaic modulesin combined acceleration tests Reviewed

    Trang Ngo, Yushi Heta, Takuya Doi, Atsushi Masuda

    Japanese Journal of Applied Physics   55 ( 5 )   2016.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japan Society of Applied Physics  

    UV exposure and other factors such as high/low temperature, humidity and mechanical stress have been reported to degrade photovoltaic (PV) module materials. By focusing on the combined effects of UV stress and moisture on PV modules, two new acceleration tests of light irradiation and damp heat (DH) were designed and conducted. The effects of UV exposure were validated through a change in irradiation time (UV dosage) and a change of the light irradiation side (glass side vs backsheet side) in the UV-preconditioned DH and cyclic sequential tests, respectively. The chemical corrosion of finger electrodes in the presence of acetic acid generated from ethylene vinyl acetate used as an encapsulant was considered to be the main origin of degradation. The module performance characterized by electroluminescence images was confirmed to correlate with the measured acetic acid concentration and Ag finger electrode resistance.

    DOI: 10.7567/JJAP.55.052301

    Scopus

    researchmap

  • Sequential and combined acceleration tests for crystalline Si photovoltaic modules Reviewed

    Atsushi Masuda, Chizuko Yamamoto, Naomi Uchiyama, Kiyoshi Ueno, Toshiharu Yamazaki, Kazunari Mitsuhashi, Akihiro Tsutsumida, Jyunichi Watanabe, Jyunko Shirataki, Keiko Matsuda

    Japanese Journal of Applied Physics   55 ( 4 )   2016.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japan Society of Applied Physics  

    The sequential combination test for photovoltaic modules is effective for accelerating degradation to shorten the test time and for reproducing degradation phenomena observed in modules exposed outdoors for a long time. The damp-heat (DH) test, thermal-cycle (TC) test, humidity-freeze (HF) test or dynamic mechanical load (DML) test is combined for the test modules. It was confirmed that chemical corrosion degradation or physical mechanical degradation is reproduced by the combination of the above tests. Cracks on the back sheet and delamination, often observed upon outdoor exposure, were well reproduced by the combination of DH and TC tests and TC and HF tests, respectively. Sequential DH and TC tests and DML and TC tests accelerated the degradation. These sequential tests are expected to be effective in reducing the required time of indoor testing for ensuring long-term reliability.

    DOI: 10.7567/JJAP.55.04ES10

    Scopus

    researchmap

  • Behavior of the potential-induced degradation of photovoltaic modules fabricated using flat mono-crystalline silicon cells with different surface orientations Reviewed

    Seira Yamaguchi, Atsushi Masuda, Keisuke Ohdaira

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 ( 4 )   2016.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP PUBLISHING LTD  

    This paper deals with the dependence of the potential-induced degradation (PID) of flat, p-type mono-crystalline silicon solar cell modules on the surface orientation of solar cells. The investigated modules were fabricated from p-type mono-crystalline silicon cells with a (100) or (111) surface orientation using a module laminator. PID tests were performed by applying a voltage of % 1000V to shorted module interconnector ribbons with respect to an Al plate placed on the cover glass of the modules at 85 degrees C. A decrease in the parallel resistance of the (100)-oriented cell modules is more significant than that of the (111)-oriented cell modules. Hence, the performance of the (100)-oriented-cell modules drastically deteriorates, compared with that of the (111)-oriented-cell modules. This implies that (111)-oriented cells offer a higher PID resistance. (C) 2016 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.55.04ES14

    Web of Science

    researchmap

  • Consortium style study on the development of highly reliable photovoltaic modules and acceleration test methods: Management of the “consortium study on fabrication and characterization of solar cell modules with long life and high reliability” Reviewed

    Atsushi Masuda, Nanako Igawa

    Synthesiology   9 ( 1 )   42 - 54   2016.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Nat. Inst. of Adv. Industrial Science and Technology (AIST)  

    The “Consortium Study on Fabrication and Characterization of Solar Cell Modules with Long Life and High Reliability” was established by the National Institute of Advanced Industrial Science and Technology. The consortium had over 90 participating organizations, which were mostly module-material manufacturers. The purpose of the consortium was to improve reliability and lifetime of photovoltaic modules, and to develop acceleration test methods for accurate assessment of module lifetime. This paper details the establishment procedures and management policies of the consortium, with particular focus on resolving competing interests among the participants, as viewed from the perspective of the secretariat.

    DOI: 10.5571/SYNTHENG.9.1_42

    Scopus

    researchmap

  • Consortium style study on the development of highly reliable photovoltaic modules and acceleration test methods: Management of the “consortium study on fabrication and characterization of solar cell modules with long life and high reliability” Reviewed

    Atsushi Masuda, Nanako Igawa

    Synthesiology   9 ( 1 )   39 - 50   2016.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Nat. Inst. of Adv. Industrial Science and Technology (AIST)  

    The “Consortium Study on Fabrication and Characterization of Solar Cell Modules with Long Life and High Reliability” was established by the National Institute of Advanced Industrial Science and Technology. The consortium has over 90 participating organizations, which are mostly module-material manufacturers. The purpose of the consortium is to improve reliability and lifetime of photovoltaic modules, and to develop acceleration test methods for accurate assessment of module lifetime. This paper details the establishment procedures and management policies of the consortium, with particular focus on resolving competing interests among the participants, as viewed from the perspective of the secretariat.

    DOI: 10.5571/synth.9.1_39

    Scopus

    researchmap

  • Consideration on Na diffusion and recovery phenomena in potential-induced degradation for crystalline Si photovoltaic modules Reviewed

    Atsushi Masuda, Yukiko Hara, Sachiko Jonai

    Japanese Journal of Applied Physics   55 ( 2 )   2016.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japan Society of Applied Physics  

    Potential-induced degradation (PID), which brings about a large rapid decrease in output power has been observed in megawatt-scale photovoltaic power plants. Na diffusion from a cover glass to a cell through an encapsulant is possibly the direct origin of PID for p-type crystalline Si photovoltaic modules. On the other hand, PID is suppressed when using an ionomer encapsulant instead of a conventional ethylene vinyl acetate encapsulant. Some researchers consider that the reason is the suppression of Na diffusion when using an ionomer encapsulant. However, there has been no direct observation of Na diffusion behavior to the best of our knowledge for the modules prepared using an ionomer encapsulant. In this study it was found for the first time that Na diffuses in p-type multicrystalline Si photovoltaic modules prepared using an ionomer encapsulant without PID, suggesting that Na diffusion is not a sufficient condition for PID to occur. Another feature of PID is the recovery phenomenon induced by applying reverse voltage after PID occurs. In this study it was also found that reverse voltage application in the initial stage is effective for suppressing PID.

    DOI: 10.7567/JJAP.55.02BF10

    Scopus

    researchmap

  • Acceleration of degradation by highly accelerated stress test and air-included highly accelerated stress test in crystalline silicon photovoltaic modules Reviewed

    Soh Suzuki, Tadanori Tanahashi, Takuya Doi, Atsushi Masuda

    Japanese Journal of Applied Physics   55 ( 2 )   2016.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japan Society of Applied Physics  

    We examined the effects of hyper-hygrothermal stresses with or without air on the degradation of crystalline silicon (c-Si) photovoltaic (PV) modules, to shorten the required duration of a conventional hygrothermal-stress test [i.e., the "damp heat (DH) stress test", which is conducted at 85 °C/85% relative humidity for 1,000 h]. Interestingly, the encapsulant within a PV module becomes discolored under the air-included hygrothermal conditions achieved using DH stress test equipment and an air-included highly accelerated stress test (air-HAST) apparatus, but not under the air-excluded hygrothermal conditions realized using a highly accelerated stress test (HAST) machine. In contrast, the reduction in the output power of the PV module is accelerated irrespective of air inclusion in hyper-hygrothermal test atmosphere. From these findings, we conclude that the required duration of the DH stress test will at least be significantly shortened using air-HAST, but not HAST.

    DOI: 10.7567/JJAP.55.022302

    Scopus

    researchmap

  • Multi angle laser light scattering evaluation of field exposed thermoplastic photovoltaic encapsulant materials Reviewed

    Michael D. Kempe, David C. Miller, John H. Wohlgemuth, Sarah R. Kurtz, John M. Moseley, Dylan l. Nobles, Katherine M. Stika, Yefim Brun, Sam L. Samuels, Qurat Annie Shah, Govindasamy Tamizhmani, Keiichiro Sakurai, Masanao Inoue, Takuya Doi, Atsushi Masuda, Crystal E. Vanderpan

    Energy Science and Engineering   4 ( 1 )   40 - 51   2016.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:John Wiley and Sons Ltd  

    As creep of polymeric materials is potentially a safety concern for photovoltaic modules, the potential for module creep has become a significant topic of discussion in the development of IEC 61730 and IEC 61215. To investigate the possibility of creep, modules were constructed, using several thermoplastic encapsulant materials, into thin-film mock modules and deployed in Mesa, Arizona. The materials examined included poly(ethylene)-co-vinyl acetate (EVA, including formulations both cross-linked and with no curing agent), polyethylene/polyoctene copolymer (PO), poly(dimethylsiloxane) (PDMS), polyvinyl butyral (PVB), and thermoplastic polyurethane (TPU). The absence of creep in this experiment is attributable to several factors of which the most notable one was the unexpected cross-linking of an EVA formulation without a cross-linking agent. It was also found that some materials experienced both chain scission and cross-linking reactions, sometimes with a significant dependence on location within a module. The TPU and EVA samples were found to degrade with cross-linking reactions dominating over chain scission. In contrast, the PO materials degraded with chain scission dominating over cross-linking reactions. Although we found no significant indications that viscous creep is likely to occur in fielded modules capable of passing the qualification tests, we note that one should consider how a polymer degrades, chain scission or cross-linking, in assessing the suitability of a thermoplastic polymer in terrestrial photovoltaic applications.

    DOI: 10.1002/ese3.106

    Scopus

    researchmap

  • Proposed new damp heat test standards for commercial CIGS modules with bias application or light irradiation Reviewed

    Keiichiro Sakurai, Hiroshi Tomita, Kinichi Ogawa, Darshan Schmitz, Hajime Shibata, Shuuji Tokuda, Atsushi Masuda

    Proceedings of SPIE - The International Society for Optical Engineering   9938   2016

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:SPIE  

    Based on our results that conventional damp-heat (DDH) test on a commercial CCIGS (a.k.a. CCIS, CIGSS) module causes an irreversible Test-specific degradation (TSD) that is not observed in modules deployed in fields, we propose a new option for DDH testing of CIGS modules. We have tested full-size CIGS modules with/without forward bias, light irradiation and humidity during heat tests. The results clearly show that adding forward bias, or white light irradiation during DH tests suppresses this irreversible degradation. Based on these results, we have proposed to add forward bias and/or light irradiation during DH tests of CIGS modules, to make the test condition closer to real fields and suppress degradations not observed in the field.

    DOI: 10.1117/12.2237431

    Scopus

    researchmap

  • Electrical Detection of Gap Formation underneath Finger Electrodes on c-Si PV Cells Exposed to Acetic Acid Vapor under Hygrothermal Conditions Reviewed

    Tadanori Tanahashi, Norihiko Sakamoto, Hajime Shibata, Atsushi Masuda

    2016 IEEE 43RD PHOTOVOLTAIC SPECIALISTS CONFERENCE (PVSC)   1075 - 1079   2016

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    For the current collection failure induced by the acidic corrosion in finger electrodes on photovoltaic (PV) cells, the formation and evolution of gaps underneath the electrodes were able to be found by the monitoring of AC impedance signals and I-V characteristics of PV cells. The similar degradation behavior was also observed in PV modules under damp heat test. Then, the degradation mechanisms of crystalline silicon PV module under hygrothermal conditions are discussed, to contribute the lifetime prediction of PV modules installed in fields.

    Web of Science

    researchmap

  • Direct Evidence for pn Junction without Degradation in Crystalline Si Photovoltaic Modules under Hygrothermal Stresses Reviewed

    Atsushi Masuda, Chizuko Yamamoto, Tadanori Tanahashi, Hitoshi Sai, Takuya Matsui

    2016 IEEE 43RD PHOTOVOLTAIC SPECIALISTS CONFERENCE (PVSC)   904 - 906   2016

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Origin of degradation in output power for crystalline Si photovoltaic modules by hygrothermal stresses was studied. The direct evidence that pn junction is hardly damaged and the origin is damage in Ag finger electrodes was obtained through the experiments composed of removal of degraded finger electrodes and reconstruction of electrodes. It was suggested that electrode is final defense for reliability of photovoltaic modules under hygrothermal stresses. The most important issue for highly reliable photovoltaic modules may be the development of electrode materials with toughness against acetic acid.

    Web of Science

    researchmap

  • Field testing of thermoplastic encapsulants in high-temperature installations Reviewed

    Michael D. Kempe, David C. Miller, John H. Wohlgemuth, Sarah R. Kurtz, John M. Moseley, Qurat A. Shah, Govindasamy Tamizhmani, Keiichiro Sakurai, Masanao Inoue, Takuya Doi, Atsushi Masuda, Sam L. Samuels, Crystal E. Vanderpan

    Energy Science and Engineering   3 ( 6 )   565 - 580   2015.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:John Wiley and Sons Ltd  

    Recently there has been increased interest in using thermoplastic encapsulant materials in photovoltaic modules, but concerns have been raised about whether these would be mechanically stable at high temperatures in the field. Recently, this has become a significant topic of discussion in the development of IEC 61730 and IEC 61215. We constructed eight pairs of crystalline-silicon modules and eight pairs of glass/encapsulation/glass thin-film mock modules using different encapsulant materials, of which only two were formulated to chemically crosslink. One module set was exposed outdoors with thermal insulation on the back side in Mesa, Arizona, in the summer (hot-dry), and an identical module set was exposed in environmental chambers. High-precision creep measurements (±20 μm) and electrical performance measurements indicate that despite many of these polymeric materials operating in the melt or rubbery state during outdoor deployment, no significant creep was seen because of their high viscosity, lower operating temperature at the edges, and/or the formation of chemical crosslinks in many of the encapsulants with age despite the absence of a crosslinking agent. Only an ethylene-vinyl acetate (EVA) encapsulant formulated without a peroxide crosslinking agent crept significantly. In the case of the crystalline-silicon modules, the physical restraint of the backsheet reduced creep further and was not detectable even for the EVA without peroxide. Because of the propensity of some polymeric materials to crosslink as they age, typical thermoplastic encapsulants would be unlikely to result in creep in the vast majority of installations.

    DOI: 10.1002/ese3.104

    Scopus

    researchmap

  • Potential-induced degradation in photovoltaic modules based on n-type single crystalline Si solar cells Reviewed

    Kohjiro Hara, Sachiko Jonai, Atsushi Masuda

    SOLAR ENERGY MATERIALS AND SOLAR CELLS   140   361 - 365   2015.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE BV  

    Potential-induced degradation (PID) in photovoltaic (PV) modules based on n-type single crystalline Si solar cell (front junction cell) was experimentally generated by applying negative voltage from an Al plate, which was attached on the front cover glass of the module, to the Si cell. The solar energy-to-electricity conversion efficiency of the standard n-type Si PV module decreased from 17.8% to 15.1% by applying -1000 V at 85 degrees C for 2 h. The external quantum efficiency in the range from 400 to 600 nm significantly decreased after the PID test, although no change was observed from 800 to 1100 nm. PID in n-type Si PV modules can be basically explained by enhanced front surface recombination between electron and hole on the Si cell, whereas the polarity of voltage leading to PID depends on structure of Si cell. An ionomer encapsulant instead of EVA has significantly suppressed PID in n-type Si PV modules. (C) 2015 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.solmat.2015.04.037

    Web of Science

    researchmap

  • Acceleration of potential-induced degradation by salt-mist preconditioning in crystalline silicon photovoltaic modules Reviewed

    Soh Suzuki, Naoki Nishiyama, Seiji Yoshino, Takumi Ujiro, Shin Watanabe, Takuya Doi, Atsushi Masuda, Tadanori Tanahashi

    Japanese Journal of Applied Physics   54 ( 8 )   2015.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Japan Society of Applied Physics  

    We examined the sequential effects of salt-mist stress followed by high-system-voltage stress on the power loss of crystalline silicon photovoltaic (PV) modules to determine whether a crucial failure as potential-induced degradation (PID) is accelerated by material-property changes caused by the long-term effects of a less harmful stress such as salt-mist spraying. Degradation profiles confirmed in this study show that PID is accelerated by certain types of salt-mist preconditioning. For the acceleration of PID, the contribution of sodium ions liberated from the front glass of the PV module seems to be excluded. Therefore, we consider that the sodium ions penetrating into the PV modules from the ambient environment may also cause degradation according to the proposed mechanisms of PID, as the sodium ions existing in the front glass cause PID. Furthermore, this type of degradation may indicate the wear-out phenomenon after a long-term exposure in the field (especially near the coast).

    DOI: 10.7567/JJAP.54.08KG08

    Scopus

    researchmap

  • Plasma-enhanced chemical-vapor deposition of silicon nitride film for high resistance to potential-induced degradation

    Ken Mishina, Atsufumi Ogishi, Kiyoshi Ueno, Sachiko Jonai, Norihiro Ikeno, Tetsuya Saruwatari, Kohjiro Hara, Atsushi Ogura, Toshiharu Yamazaki, Takuya Doi, Makoto Shinohara, Atsushi Masuda

    Japanese Journal of Applied Physics   54 ( 8 )   2015.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Japan Society of Applied Physics  

    The antireflection coating (ARC) on crystalline silicon solar cells plays an important role in preventing potential-induced degradation (PID). In a previous work, we reported that the module, which has an ARC prepared by plasma-enhanced chemical-vapor deposition (PE-CVD) using a hollow cathode, indicated high resistance to PID with a constant conventional refractive index (RI). In this work, we report further investigation of the high-PID-resistant ARC. The results indicate that the high-PID resistant ARC had high conductivity, high Si-H bond density, and low N-H bond density. Furthermore, both higher PID resistance and higher conversion efficiency are achieved using an ARC of double or triple layers comprising stacked silicon nitride layers of different RI than those of a conventional single-layer ARC.

    DOI: 10.7567/JJAP.54.08KD12

    Scopus

    researchmap

  • Development of a pH sensor based on a nanostructured filter adding pH-sensitive fluorescent dye for detecting acetic acid in photovoltaic modules Reviewed

    Takashi Asaka, Tomohiro Itayama, Hideaki Nagasaki, Kentaro Iwami, Chizuko Yamamoto, Yukiko Hara, Atsushi Masuda, Norihiro Umeda

    Japanese Journal of Applied Physics   54 ( 8 )   2015.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Japan Society of Applied Physics  

    Acetic acid formed via the hydrolysis of ethylene vinyl acetate (EVA) as an encapsulant in photovoltaic (PV) modules causes a decrease in the conversion efficiency of such modules by grid corrosion. Here, a nondestructive and simple optical method for evaluating the condition of PV modules is proposed. This method uses a dual-wavelength pH-sensitive fluorescent dye to detect acetic acid in PV modules using a change in pH. The change in pH induced by the formation of acetic acid is detected by the change in the ratio of the fluorescent intensities of two peaks of the dye. A pH-sensitive fluorescent dye showed sensitivity for small amounts of acetic acid such as that produced from EVA. Furthermore, a membrane filter dyed with a pH-sensitive fluorescent dye was confirmed to detect acetic acid in aged EVA after a damp-heat test (85 °C, 85%) for 5000 h in PV modules.

    DOI: 10.7567/JJAP.54.08KG07

    Scopus

    researchmap

  • Module composition for reliability test of organic photovoltaics Reviewed

    Hideyuki Morita, Masanori Miyashita, Atsushi Masuda

    Japanese Journal of Applied Physics   54 ( 8 )   2015.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Japan Society of Applied Physics  

    This work focuses on investigating the degradation factor for organic photovoltaic (OPV) modules. We established the composition of a test module that has suitable barrier properties against moisture ingress using the Ca method. Then, we mounted an OPV cell in the test module and conducted durability tests. We determined in reliability tests that the main degradation factors for OPV modules are products from encapsulants. Then, we added a vacuum process to the sample preparation procedure. We conducted durability tests on the OPV module prepared by the improved process, and we observed a change in the pattern of degradation. We concluded that the vacuum process affected the degradation mechanism.

    DOI: 10.7567/JJAP.54.08KF07

    Scopus

    researchmap

  • Relationship between cross-linking conditions of ethylene vinyl acetate and potential induced degradation for crystalline silicon photovoltaic modules Reviewed

    Sachiko Jonai, Kohjiro Hara, Yuji Tsutsui, Hidenari Nakahama, Atsushi Masuda

    JAPANESE JOURNAL OF APPLIED PHYSICS   54 ( 8 )   2015.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP PUBLISHING LTD  

    In this study, we investigated the relationship in crystalline silicon (c-Si) photovoltaic (PV) modules between the cross-linking level of copolymer of ethylene and vinyl acetate (EVA) as the encapsulant and the degree of degradation due to potential induced degradation (PID) phenomenon. We used three methods for the determination of cross-linking level of EVA: xylene method, which is one of the solvent extraction methods (SEM), curing degree by differential scanning calorimetry (DSC), and viscoelastic properties by dynamic mechanical analysis (DMA). The results indicate that degradation of PV modules by PID test depends on the cross-linking level of EVA. The PV modules encapsulated by EVA with higher cross-linking level show lower degradation degree due to PID phenomenon. Also we showed that EVA with higher cross-linking level tended to be higher volume resistivity. This tendency is similar to that for electrical resistance value during the PID test. The PID test was also done by changing thickness of EVA between front cover glass and c-Si with the same cross-linking level. The PV modules encapsulated by thicker EVA between front cover glass and c-Si cell show lower degradation by PID. From these results, the PV modules encapsulated by EVA with higher cross-linking level, higher volume resistivity and increased thickness would be tolerant of PID phenomenon. (C) 2015 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.54.08KG01

    Web of Science

    researchmap

  • An examination of the acceleration method of damp heat test for c-Si PV modules Reviewed

    Soh Suzuki, Tadanori Tanahashi, Takuya Doi, Atsushi Masuda

    Journal of Japan Institute of Electronics Packaging   18 ( 4 )   226 - 234   2015.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japan Institute of Electronics Packaging  

    DOI: 10.5104/jiep.18.226

    Scopus

    researchmap

  • Potential-induced degradation of Cu(In,Ga)Se2 photovoltaic modules Reviewed

    Seira Yamaguchi, Sachiko Jonai, Kohjiro Hara, Hironori Komaki, Yukiko Shimizu-Kamikawa, Hajime Shibata, Shigeru Niki, Yuji Kawakami, Atsushi Masuda

    Jpn. J. Appl. Phys   54   2015.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    researchmap

  • Degradation by acetic acid for crystalline Si photovoltaic modules Reviewed

    Atsushi Masuda, Naomi Uchiyama, Yukiko Hara

    Japanese Journal of Applied Physics   54 ( 4 )   2015.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Japan Society of Applied Physics  

    The degradation of crystalline Si photovoltaic modules during damp-heat test was studied using some test modules with and without polymer film insertion by observing electrical and electroluminescence properties and by chemical analyses. Acetic acid generated by the hydrolysis decomposition of ethylene vinyl acetate used as an encapsulant is the main origin of degradation. The change in electroluminescence images is explained on the basis of the corrosion of electrodes by acetic acid. On the other hand, little change was observed at the pn junction even after damp-heat test for a long time. Therefore, carrier generation occurs even after degradation
    however, such generated carriers cannot be collected owing to corrosion of electrodes. The guiding principle that module structure and module materials without saving acetic acid into the modules was obtained.

    DOI: 10.7567/JJAP.54.04DR04

    Scopus

    researchmap

  • Effects of light illumination during damp/dry heat tests on a flexible thin film photovoltaic module Reviewed

    Keiichiro Sakurai, Akihiro Takano, Masayoshi Takani, Atsushi Masuda

    Proceedings of SPIE - The International Society for Optical Engineering   9563   2015

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:SPIE  

    Current injected damp heat (CDH) test have been reported to accelerate certain type of long-term degradation observed in at least one prototype flexible thin film silicon photovoltaic (PV) modules deployed in field [1]. This report have raised a question that whether conventional DH tests should be combined with current injection or light illumination to better reproduce long-time degradations of flexible thin film modules. To answer this question, we have been testing multiple flexible products available in the market, as part of the activities of Japanese Task Group 8 of the International PV Quality Assurance Task Force (PVQAT) [2]. Here, we present some results of our damp (or dry) heat testing with light illumination on a flexible CIGS module product with relatively poor moisture barriers.

    DOI: 10.1117/12.2187891

    Scopus

    researchmap

  • Crystalline Si photovoltaic modules functionalized by a thin polyethylene film against potential and damp-heat-induced degradation Reviewed

    Kohjiro Hara, Sachiko Jonai, Atsushi Masuda

    RSC Advances   5 ( 20 )   15017 - 15023   2015

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Royal Society of Chemistry  

    Potential-induced degradation (PID) in p-type-based multicrystalline Si photovoltaic (PV) modules was experimentally generated applying -1000 V from an Al plate, which is attached on the front cover glass of the module, to the Si cell at 85 °C for 2 h. The solar energy-to-electricity conversion efficiency (η) of the standard Si PV module significantly decreased after the PID test. In contrast, no degradation was observed in the modules, including a thin polyethylene (PE) film (30 μm thickness) with the copolymer of ethylene and vinyl acetate (EVA) as the encapsulant. It was suggested that the PE film whose volume resistivity is higher than that of EVA prevented the diffusion of Na+ from the front cover glass toward the Si cell, resulting in a suppression of PID because different degradation processes during PID were observed in the EL images for the two modules, including a half PE film. In addition, the Si PV module, including a PE film, demonstrated stable performance after a damp-heat test (85 °C/85% relative humidity) for 4000 h, although the η of the standard module significantly decreased from 16.0% to 7.6% after the test. Our results indicate an attractive and promising low-cost technique for improving the long-term stability of crystalline Si PV modules against potential and damp-heat-induced degradation.

    DOI: 10.1039/c4ra13360a

    Scopus

    researchmap

  • Novel lighter weight crystalline silicon photovoltaic module using acrylic-film as a cover sheet Reviewed

    Taira Kajisa, Haruko Miyauchi, Kazumi Mizuhara, Kentaro Hayashi, Tooru Tokimitsu, Masanao Inoue, Kohjiro Hara, Atsushi Masuda

    JAPANESE JOURNAL OF APPLIED PHYSICS   53 ( 9 )   2014.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP PUBLISHING LTD  

    Lighter weight multicrystalline silicon photovoltaic (PV) modules were investigated by substitution of acrylic thin film for standard glass as a cover sheet. Acrylic-film PV mini modules were fabricated with the composition determined from stress simulation results and tested for long-term reliability against thermal changes and humidity. The results revealed that the acrylic-film-cover-sheet PV module satisfied the qualifying standards of all the reliability tests in both the module appearance after tests and the electrical properties. Moreover, the PV module proved to be durable in the impact resistance test, even though the cover sheet was thinner. In addition, the electrical properties of the PV module were unaffected in the potential-induced degradation (PID) test, whereas those of the standard glass module were significantly deteriorated. These results indicated that it is possible for the lighter weight acrylic-film PV module to be used in the immediate future. (C) 2014 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.53.092302

    Web of Science

    researchmap

  • Investigation on antireflection coating for high resistance to potential-induced degradation Reviewed

    Ken Mishina, Atsufumi Ogishi, Kiyoshi Ueno, Takuya Doi, Kohjiro Hara, Norihiro Ikeno, Daisuke Imai, Tetsuya Saruwatari, Makoto Shinohara, Toshiharu Yamazaki, Atsushi Ogura, Yoshio Ohshita, Atsushi Masuda

    JAPANESE JOURNAL OF APPLIED PHYSICS   53 ( 3 )   2014.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP PUBLISHING LTD  

    In this study, we focus on the relationship between potential-induced degradation (PID) and characteristics of antireflection coating (ARC) on crystalline silicon solar cells. We evaluated the PID of general industrial multicrystalline p-type silicon solar cell groups with various ARCs. The module, which has an ARC deposited by plasma-enhanced chemical vapor deposition (PE-CVD) using a hollow cathode, indicated high resistance to PID with maintained conventional refractive index (RI). This ARC had properties of high conductivity and low oxygen concentration. It has the potential to suppress PID on crystalline silicon solar cells with maintained conventional RI. (C) 2014 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.53.03CE01

    Web of Science

    researchmap

  • Estimating the manufacturing cost to large-scale power generate polymer based organic photovoltaics Reviewed

    Hiroyuki Ogo, Masaru Nagai, Jiro Tsukahara, Masaki Konishi, Atsushi Masuda, Yuji Yoshida

    Nihon Enerugi Gakkaishi/Journal of the Japan Institute of Energy   93 ( 3 )   271 - 277   2014

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japan Institute of Energy  

    Organic photovoltaics (OPVs) are next-generation photovoltaics. The industrialization of low-cost photovoltaics will be achieved by employing OPVs as polymer materials fabricated without a vacuum process roll-to-roll (R2R) process because of the low running cost and high throughput of the R2R process. Recently, some estimates for the manufacturing cost of OPVs have been reported. However, most of the reports predicted a high cost. We estimated the cost of fabricating a newly designed low-cost module by an all-wet process. In addition, we discovered a technical problem regarding the industrialization of OPVs.

    DOI: 10.3775/jie.93.271

    Scopus

    researchmap

  • Detection of acid moisture in photovoltaic modules using a dual wavelength pH-sensitive fluorescent dye Reviewed

    Takashi Asaka, Kentaro Iwami, Atsushi Taguchi, Norihiro Umeda, Atsushi Masuda

    Japanese Journal of Applied Physics   53 ( 4 )   2014

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Japan Society of Applied Physics  

    The formation of acetic acid via the penetration of moisture into ethylene vinyl acetate (EVA) in photovoltaic (PV) modules is cited as the main reason for PV modules' degradation. Currently, there is no effective method for detecting acetic moisture in PV modules. We proposed a simple method for detecting acid moisture in PV modules using a dual-wavelength pH-sensitive dye that measures pH by the ratio of the intensities of two peaks in the fluorescence spectra of the dye. We detected the pH change caused by acetic acid with the change in the intensity ratio of the fluorescence spectra of the dried dye. Furthermore, we observed that the dry fluorescent dye is heat resistant to withstand the lamination process for the manufacturing of PV modules, and has good long-term durability. © 2014 The Japan Society of Applied Physics.

    DOI: 10.7567/JJAP.53.04ER18

    Scopus

    researchmap

  • Crystalline Si photovoltaic modules based on TiO2-coated cover glass against potential-induced degradation Reviewed

    Kohjiro Hara, Hiromichi Ichinose, Takurou N. Murakami, Atsushi Masuda

    RSC ADVANCES   4 ( 83 )   44291 - 44295   2014

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ROYAL SOC CHEMISTRY  

    Potential-induced degradation (PID) in multicrystalline Si photovoltaic (PV) modules was generated by applying -1000 V from an Al plate attached on the cover glass of the module to the Si cell at 85 degrees C. The solar energy-to-electricity conversion efficiency of the standard Si PV module remarkably decreased from 15.9% to 0.6% after 2 h of the PID test. Increased concentration of Na species on the surface of the Si cell after the PID test was observed by secondary ion mass spectrometry (SIMS) measurement. Our results indicate that high minus voltage stress toward the Si cell causes the diffusion of metal cations, such as Na+, from the front cover glass toward the Si cell, resulting in remarkable decrease in PV performance. PID was significantly prevented by a coating of TiO2-thin film on the cover glass that suppressed the diffusion of Na+, demonstrating an attractive and promising technique for producing low-cost PID-resistant PV modules.

    DOI: 10.1039/c4ra06791f

    Web of Science

    researchmap

  • Microscopic degradation mechanisms in silicon photovoltaic module under long-term environmental exposure Reviewed

    Keiko Matsuda, Takeshi Watanabe, Koichi Sakaguchi, Masanobu Yoshikawa, Takuya Doi, Atsushi Masuda

    Japanese Journal of Applied Physics   51 ( 10 )   2012.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    We used several analytical methods to identify the mechanism underlying the performance degradation in a photovoltaic (PV) module subjected to long-term (10 years) field exposure. Cloudy visual defects in this module were caused by delamination between the poly(ethylene vinyl acetate) (EVA) and antireflection coating films on the Si substrate. The delamination was considered to be caused by the formation of a segregation layer and oxidative degradation of EVA. Furthermore, it was found that sodium ions diffused from the superstrate glass into the EVA film and Si cell. We confirm that diffusion of sodium ions caused the degradation of Si cells and the superstrate glass of this module. © 2012 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.51.10NF07

    Scopus

    researchmap

  • Failure assessments for outside-exposed photovoltaic modules Reviewed

    Shigenori Shimizu, Takashi Arai, Tomohiko Sagawa, Yuichi Aoki, Takumi Hirakawa, Hiroshi Hiraike, Shiro Hamamoto, Sadao Sakamoto, Takuya Doi, Atsushi Masuda, Masaaki Yamamichi

    Japanese Journal of Applied Physics   51 ( 10 )   2012.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Photovoltaic modules with single-crystalline silicon cells and multi-crystalline silicon cells, which were exposed outside for over nine years from 1992, have been evaluated for their failures. Current-voltage characteristic, electroluminescence, and thermography (dark mode) measurement of the modules were carried out as well as those of each solar cell in the modules. Application of these measurements in combination has been shown to be beneficial for investing the failure positions and failure factors in the modules. A new method of analyzing the positions of interconnection failures in modules was also adopted in the present study that is by using electroluminescence measurement and by connecting wires to the interconnector of each individual solar cell. © 2012 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.51.10NF04

    Scopus

    researchmap

  • Measuring method of moisture ingress into photovoltaic modules Reviewed

    Masanori Miyashita, Shinji Kawai, Atsushi Masuda

    Japanese Journal of Applied Physics   51 ( 10 )   2012.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    The reliability of photovoltaic (PV) modules is related to the ingress of moisture in some cases. We investigated the measurement method of moisture ingress into PV modules. In order to detect the moisture ingress route into the module, cobalt chloride (CoCl 2) paper was used. The change in the color of CoCl 2 paper is effective in detecting and quantifying moisture ingress. The results suggested that the main route of moisture ingress is along the back material and moisture gradually diffuses to the center of the cell. The rate of moisture ingress into the PV module depends on the water-vapor transmission rate (WVTR) of the back material. The amount of moisture estimated from a calibration curve is correlated to the amount of moisture calculated from the WVTR of the back material. © 2012 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.51.10NF12

    Scopus

    researchmap

  • Early failure detection of interconnection with rapid thermal cycling in photovoltaic modules Reviewed

    Yuichi Aoki, Manabu Okamoto, Atsushi Masuda, Takuya Doi, Tadanori Tanahashi

    Japanese Journal of Applied Physics   51 ( 10 )   2012.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    To accelerate the degradation with thermal fatigue in crystalline silicon photovoltaic modules, the modules were exposed to dry thermal stress with rapid thermal cycling, and module impedance was monitored in situ during this testing. The spikelike increase in module impedance at a temperature-alteration point was observed in the early stage of this rapid thermal cycling. The pattern of increase in module impedance proceeded step-by-step, from the early stage, via the double-spikelike pattern at two temperature-alteration points (the middle stage), and finally to the successive increases in module impedance in the high-temperature period (the late stage). The nondestructive analyses suggest that the interconnector failures without the defects of photovoltaic cells occurred. From these results, it is suggested that the pattern of increase in module impedance is related to the interconnection degradation of modules, and that the rapid thermal cycling with in situ monitoring of module impedance would be a useful procedure for the earlier detection of interconnection failures in photovoltaic modules. © 2012 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.51.10NF13

    Scopus

    researchmap

  • A field evaluation of the potential for creep in thermoplastic encapsulant materials Reviewed

    Michael D. Kempe, David C. Miller, John H. Wohlgemuth, Sarah R. Kurtz, John M. Moseley, Qurat Shah, Govindasamy Tamizhmani, Keiichiro Sakurai, Masanao Inoue, Takuya Doi, Atsushi Masuda, Sam L. Samuels, Crystal E. Vanderpan

    Conference Record of the IEEE Photovoltaic Specialists Conference   1871 - 1876   2012

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    There has been recent interest in the use of thermoplastic encapsulant materials in photovoltaic modules to replace chemically crosslinked materials, e.g., ethylene-vinyl acetate. The related motivations include the desire to: reduce lamination time or temperature
    use less moisture-permeable materials
    use materials with better corrosion characteristics or with improved electrical resistance. However, the use of any thermoplastic material in a high-temperature environment raises safety and performance concerns, as the standardized tests currently do not expose the modules to temperatures in excess of 85°C, though fielded modules may experience temperatures above 100°C. Here we constructed eight pairs of crystalline-silicon modules and eight pairs of glass/encapsulation/glass thin-film mock modules using different encapsulant materials of which only two were designed to chemically crosslink. One module set was exposed outdoors with insulation on the back side in Arizona in the summer, and an identical set was exposed in environmental chambers. High precision creep measurements (±20 μm) and performance measurements indicate that despite many of these polymeric materials being in the melt state during outdoor deployment, very little creep was seen because of their high viscosity, temperature heterogeneity across the modules, and the formation of chemical crosslinks in many of the encapsulants as they aged. In the case of the crystalline silicon modules, the physical restraint of the backsheet reduced the creep further. © 2012 IEEE.

    DOI: 10.1109/PVSC.2012.6317958

    Scopus

    researchmap

  • Recent situation and future prospects of photovoltaic industries and technologies Reviewed

    Atsushi Masuda

    Journal of the Vacuum Society of Japan   55 ( 12 )   520 - 528   2012

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Although photovoltaic industry rapidly grows, photovoltaic-module and related manufacturers face severe economic situation because of surprising price reduction mainly due to too much supply over demand. However, steady technological development is most important even in such situation for future continuous prosperity in photovoltaic industries. Thinner wafer is one of the key technologies for cost reduction of crystalline silicon solar cells. Various methods have been developed for improved properties of thinner wafer crystalline silicon solar cells. Mass production starts for compound thin-film solar cells including cadmium telluride and copper-indiumgallium- selenide. Various types of polymer materials and interconnector ribbons are used in module-manufacturing processes and those materials determine the reliability of modules. Such technological issues will be discussed in this article for crystalline silicon, compound thin-film solar cells and modules after short overview of photovoltaic markets. © The Vacuum Society of Japan 2012.

    DOI: 10.3131/jvsj2.55.520

    Scopus

    researchmap

  • Recent Situation and Future Prospects of Photovoltaics

    MASUDA Atsushi

    GOMU   84 ( 5 )   153 - 160   2011.5

     More details

    Language:Japanese   Publisher:THE SOCIRETY OF RUBBER SCIENCE AND TECHNOLOGYY, JAPAN  

    Although photovoltaic industry rapidly grows, cost reduction is the most important issue for spread of photovoltaics. Various technical issues for crystalline or thin-film Si solar cells and modules will be discussed in detail. Those for compound thin-film such as Cu-In-Ga-Se (CIGS), dye-sensitized and organic thin-film solar cells will be also introduced. Reduction for Si use is key technology for cost reduction of crystalline Si solar cells. Various methods are developed for improved properties of thinner wafer crystalline Si solar cells. Large-area and high rate deposition of microcrystalline Si films are key technology for multi-junction thin-film Si solar cells. Various polymer materials are used in module-formation processes and those polymer materials determine the reliability of modules. New type solar cells such as CIGS, dye-sensitized and organic thin-film ones are of course necessary for dramatic cost reduction of photovoltaics.

    DOI: 10.2324/gomu.84.153

    CiNii Article

    CiNii Books

    researchmap

    Other Link: https://jlc.jst.go.jp/DN/JALC/00369845809?from=CiNii

  • Development of high efficiency flexible solar cells - Management of "Flexible Solar Cell Substrates Consortium" and its achievements -:- Management of "Flexible Solar Cell Substrates Consortium" and its achievements -

    MASUDA Atsushi

    Synthesiology   4 ( 4 )   193 - 199   2011

     More details

    Language:Japanese   Publisher:National Institute of Advanced Industrial Science and Technology  

    Elemental technological challenges required for the development of flexible solar cells have been clarified and a consortium system to solve the problems has been established based on industry-academia-government collaboration. The technology to form texture on polymer substrates indispensable for high efficiency has been developed, and we have succeeded in preparation of thin-film silicon solar cells on polymer substrates whose efficiency is comparable with that of cells prepared on glass substrates. The stage has already moved from research within the consortium to practical realization research in individual enterprises. Establishment process, management policy, patent strategy and training of young researchers of the consortium are described in this paper.

    DOI: 10.5571/synth.4.193

    CiNii Article

    CiNii Books

    researchmap

    Other Link: https://jlc.jst.go.jp/DN/JALC/00383632997?from=CiNii

  • Investigation on the crystal growth process of spherical Si single crystals by melting Reviewed

    Zhengxin Liu, Atsushi Masuda, Michio Kondo

    JOURNAL OF CRYSTAL GROWTH   311 ( 16 )   4116 - 4122   2009.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE BV  

    Spherical Si single crystals with a diameter of approximately I mm were grown by melting for solar cell applications. The start sources were spherical Si multicrystals fabricated by a dropping method, which had various irregular shapes. Spherical Si multicrystals were melted into droplets and recrystallized on a quartz plate sample holder that was coated with Si(3)N(4). It was found that a surface coating Of SiO(2) layer on the start sources and oxygen atmosphere during melting and recrystallization were essential to achieve almost perfect spherical shape. Defect-free single crystalline spherical Si could be obtained at recrystallization temperature ranging from 1400 to 1330 degrees C, corresponding to an undercooling ranging from 14 to 84 degrees C, with a yield of nearly 100%. At recrystallization temperatures higher than 1380 degrees C, the recrystallized spherical Si crystals were almost perfect spheres, whereas small protuberances were formed when the recrystallization temperature was lower than 1360 degrees C. It was also found that that melting at a temperature close to the melting point of Si (at similar to 1414 degrees C), a slow cooling rate of similar to 1 degrees C/min before recrystallization and relatively fast cooling rate of similar to 20 degrees C/min after recrystallization were important for achieving high carrier lifetime. The average carrier lifetime was greatly improved from lower than 2.5 mu s of start sources up to similar to 7.5 mu s by melting at optimized conditions. The influences of residual oxygen on the carrier lifetime of recrystallized spherical Si are discussed based on the measurement results with Fourier transform infrared spectrometer. (C) 2009 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.jcrysgro.2009.06.042

    Web of Science

    researchmap

  • Study on silicon-slicing technique using plasma-etching processing Reviewed

    Mitsutaka Yamaguchi, Yoshinori Abe, Atsushi Masuda, Michio Kondo

    SOLAR ENERGY MATERIALS AND SOLAR CELLS   93 ( 6-7 )   789 - 791   2009.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE BV  

    Recently, the demand for the low kerf-loss slice of the silicon wafer for photovoltaic cells is increasing from the point of view of saving raw materials. In this work, we studied the silicon-slicing technique using plasma-etching processing, which is thought to be promising to reduce the kerf loss. Finally, the slice conditions were optimized and slice speed and kerf loss were improved to about 1 mu m/s and 150 mu m, respectively. (C) 2008 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.solmat.2008.09.052

    Web of Science

    researchmap

  • Selective machining of organic thin film photovoltaic cell by a ultra-short pulse laser Reviewed

    Yoshiro Ito, Daisuke Miyata, Rie Tanabe, Masahiro Ichihara, Yoshiko Abe, Eiichi Matsumoto, Tetsuya Taima, Yuji Yoshida, Atsushi Masuda

    CLEO/Europe - EQEC 2009 - European Conference on Lasers and Electro-Optics and the European Quantum Electronics Conference   2009

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/CLEOE-EQEC.2009.5191748

    Scopus

    researchmap

  • Formation of Low-Defect-Concentration Polycrystalline Silicon Films by Thermal Plasma Jet Crystallization Technique Reviewed

    Takuya Yorimoto, Seiichiro Higashi, Hirotaka Kaku, Tatsuya Okada, Hideki Murakami, Seiichi Miyazaki, Takuya Matsui, Atsushi Masuda, Michio Kondo

    JAPANESE JOURNAL OF APPLIED PHYSICS   47 ( 8 )   6949 - 6952   2008.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:JAPAN SOCIETY APPLIED PHYSICS  

    Defect concentration in polycrystalline silicon (poly-Si) films formed by thermal plasma jet (TPJ) annealing and excimer laser annealing (ELA) has been investigated on basis of the electrical property and spin density (N(S)). Phosphorus-doped Si films with an average concentration of 4.3 x 10(17) cm(-3) and crystallized by TPJ annealing showed electrical conductivity (sigma) values of 2.0 x 10(-3) -7.8 x 10(-2) S/cm, whereas ELA Si films show much lower sigma values of (1.6-4.5) x 10(-6) S/cm regardless of irradiated laser energy density. N(S) values in TPJ annealed Si films were (2.3-4.5) x 10(17) cm(-3), which are roughly one order of magnitude lower than those of ELA films. These results indicate that dangling bonds in crystallized films are the predominant traps and they strongly govern the electrical property. TPJ crystallization offers the possibility of fabricating poly-Si films with a lose defect concentration presumably owing to the much lower cooling rate (similar to 10(5) K/s) during crystalline growth than that of ELA (similar to 10(10) K/s). By treating TPJ annealed films with hydrogen plasma for 10min at 250 degrees C, a defect density as lose as 5.0 x 10(16) cm(-3) is achieved.

    DOI: 10.1143/JJAP.47.6949

    Web of Science

    researchmap

  • Investigating minority-carrier lifetime in small spherical Si using microwave photoconductance decay Reviewed

    Zhengxin Liu, Atsushi Masuda, Michio Kondo

    JOURNAL OF APPLIED PHYSICS   103 ( 10 )   2008.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:AMER INST PHYSICS  

    We have studied minority-carrier recombination lifetime in small spherical Si (&lt; 1 mm in diameter) using microwave photoconductance decay (mu PCD). It was tested that 0.01 molar quinhydrone in methanol solution has the best passivation effect, which results in perfect exponential photoconductance decay curve and the highest average lifetime. However, the average lifetime of single crystalline spheres that were formed by polishing single crystalline Czochralski bulks was only 4.8 mu s, and that of tear-drop-like spheres that were formed by a dropping method was 2.6 mu s. These values are significantly lower compared with crystalline Si wafers. It has been found that the low lifetime is induced by the small size, and the spherical geometry does not have influence on the lifetime value. The surface recombination velocity becomes more dominant compared to bulk recombination as the size of sphere becomes smaller. Therefore, the principal of surface equivalent lifetime in the sphere is essentially different from the case of the planar wafer. (C) 2008 American Institute of Physics.

    DOI: 10.1063/1.2936979

    Web of Science

    researchmap

  • Coverage properties of SiNx films prepared by catalytic chemical vapor deposition on trenched substrates below 80 °C Reviewed

    Akira Heya, Toshiharu Minamikawa, Toshikazu Niki, Shigehira Minami, Atsushi Masuda, Hironobu Umemoto, Naoto Matsuo, Hideki Matsumura

    Thin Solid Films   516 ( 10 )   3000 - 3004   2008.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Coverage properties of SiNx films prepared by catalytic chemical vapor deposition on trenched substrates below 80 °C were investigated by using SiH4, NH3 and H2 as source gases. The aspect ratio was changed between 0.35 and 3.4. Conformal step coverage was obtained when the aspect ratio was less than unity, but the coverage property was degraded with the increase in the aspect ratio. SiNx films on the side walls of the trenches were found to have low etch-resistance by an aqueous solution of HF compared with those on the top and bottom of the trenches. Thermal radiation from the heated catalyzer should be the cause of this difference. Coverage properties at the concave corners of the trenches were improved by increasing the H2 flow rate. This improvement may be ascribed to the local heating of the substrate surfaces by H atoms. © 2007 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2007.11.001

    Scopus

    researchmap

  • Improvement of the uniformity in electronic properties of AZO films using an rf magnetron sputtering with a mesh grid electrode Reviewed

    Kanji Yasui, Akira Asano, Miku Otsuji, Hironori Katagiri, Atsushi Masuda, Hiroshi Nishiyama, Yasunobu Inoue, Masasuke Takata, Tadashi Akahane

    Materials Science and Engineering B: Solid-State Materials for Advanced Technology   148 ( 1-3 )   26 - 29   2008.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    We have investigated the deposition of Al-doped ZnO (AZO) films using a radio frequency (rf) magnetron sputtering apparatus with a mesh grid electrode. The improvement of the uniformity of crystallinity was achieved by the effect of the appropriate negative grid biases that suppress the impingement of charged particles onto the films surface. The uniformity of the electronic properties such as resistivity, carrier concentration and Hall mobility was also improved. © 2007 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.mseb.2007.09.016

    Scopus

    researchmap

  • Epitaxial growth of SiC on silicon on insulator substrates with ultrathin top Si layer by hot-mesh chemical vapor deposition Reviewed

    Hitoshi Miura, Kanji Yasui, Kazuki Abe, Atsushi Masuda, Yuichiro Kuroki, Hiroshi Nishiyama, Masasuke Takata, Yasunobu Indue, Tadashi Akahane

    Japanese Journal of Applied Physics   47 ( 1 )   569 - 572   2008.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    The epitaxial growth of SiC on silicon-on-insulator (SOI) substrates with an ultrathin (&lt
    10nm) top Si layer by hot-mesh chemical vapor deposition (CVD) was investigated. This method utilizes heated tungsten wires arranged in a mesh, which promotes the high decomposition efficiency of H2 gas. Using the hot-mesh CVD method, SiC epitaxial films were successfully grown on SOI substrates without voids being formed, which are formed readily in thin (&lt
    100nm) top Si layers at temperatures above 800°C. Some micropatterns for micro-electro-mechanical systems were fabricated on SiC on insulator (SiCOI) structure substrates by reactive ion etching and wet etching. © 2008 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.47.569

    Scopus

    researchmap

  • Cat-CVD SiN passivation films for OLEDs and packaging Reviewed

    Akira Heya, Toshiharu Minamikawa, Toshikazu Niki, Shigehira Minami, Atsushi Masuda, Hironobu Umemoto, Naoto Matsuo, Hideki Matsumura

    Thin Solid Films   516 ( 5 )   553 - 557   2008.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    A Roll-to-roll type catalytic chemical vapor deposition (Cat-CVD) apparatus was developed for the application to flexible organic light-emitting diode (OLED) displays and packaging. Silicon nitride (SiNx) films were prepared by this roll-to-roll type apparatus at temperatures below 60 °C. It was found that these SiNx films are highly moisture resistant, and the water vapor transmission rate (WVTR) on plastic substrates could be lowered to 0.01 g/m2 day. Roll-to-roll type Cat-CVD is one of the most promising methods for the preparation of barrier films for OLED displays and packaging. © 2007 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2007.06.220

    Scopus

    researchmap

  • Estimation of moisture barrier ability of thin SiNx single layer on polymer substrates prepared by Cat-CVD method Reviewed

    K. Saitoh, R. S. Kumar, S. Chua, A. Masuda, H. Matsumura

    THIN SOLID FILMS   516 ( 5 )   607 - 610   2008.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE SA  

    The SiNx films with the thickness of 50 nm were prepared by Cat-CVD method on the cyclic olefin copolymer (COC) and the polyethylene terephthalate (PET) substrates, and their moisture barrier abilities were evaluated. MOCON measurement method and Ca degradation test showed the moisture permeation results of 0.02 g/(m(2) day) for PET substrate and 0.006 g/(m(2) day) for COC substrate after SiNx deposition. Applying the simple model of gas barrier property, it was estimated that the Cat-CVD method achieves the high coverage ratio of over 99% for SiNx film on these substrates, and the moisture permeation rate of single SiNx film with the thickness of 50 nm was estimated to be 0.0045 g/(m(2) day). (C) 2007 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2007.06.215

    Web of Science

    researchmap

  • Properties of Surface-Modification Layer Generated by Atomic Hydrogen Annealing on Poly(ethylene naphthalate) Substrate Reviewed

    A. Heya, T. Minamikawa, T. Niki, S. Minami, A. Masuda, H. Umemoto, N. Matsuo, H. Matsumura

    Jpn. J. Appl. Phys.   47   266 - 268   2008

     More details

    Language:English  

    DOI: 10.1143/JJAP.47.266

    researchmap

  • A concentrator module of spherical Si solar cell Reviewed

    Zhengxin Liu, Atsushi Masuda, Takehiko Nagai, Takashi Miyazaki, Miwako Takano, Masahiro Takano, Haruyuki Yoshigahara, Kazutoshi Sakai, Koichi Asai, Michio Kondo

    SOLAR ENERGY MATERIALS AND SOLAR CELLS   91 ( 19 )   1805 - 1810   2007.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE BV  

    Spherical Si solar cell, which is made up of Si spheres with a diameter of approximately 1.0mm, is expected to be a promising candidate for low consumption of Si feedstock and simple process technology. This paper describes the formation process and the structure of a concentrator module in detail. The concentrator lens was formed by casting with ultraviolet light hardening resin. The concentration ratio was 4.4 times and the pitch between the spheres was 2.0mm. By this module design, it was possible to realize a consumption of the Si feedstock of about 3.0g/W. Conversion efficiencies of 11.3% from single-sphere cell, 8.5% from a 23-spheres module and 5.2% from a 105-spheres module under AM 1.5, 100 mW/cm(2) illumination were achieved. (c) 2007 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.solmat.2007.06.008

    Web of Science

    researchmap

  • Improvement of the production yield of spherical si by optimization of the seeding technique in the dropping method Reviewed

    Zhengxin Liu, Koichi Asai, Atsushi Masuda, Takehiko Nagai, Yoshihiro Akashi, Mikio Murozono, Michio Kondo

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   46 ( 9A )   5695 - 5700   2007.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:INST PURE APPLIED PHYSICS  

    Spherical Si with a diameter distribution of approximately 1.0 mm, which is applicable to spherical solar cells, was formed by the dropping method. To control the solidification of the molten Si droplet to occur at shallow undercooling, a seeding technique was developed. In this paper, we describe the optimization of the seeding technique with emphases on high production yield and high minority carrier lifetime. An effective seeding supply system was first introduced. It was found that the seeding with Si powder causes impurities, such as Fe, into Si spheres, and the crystallinity becomes poor with increasing yield. SiO, SiO2, Si3N4, and boron nitride powders were shown to have the same seeding effect as Si powder. Among these, SiO2 was the best candidate. The seeding with SiO2 powder did not increase the concentration of Fe and 0 impurities and did not decrease the crystallinity. The dependences of the yield on the size and the density Of SiO2 powder were systemically investigated. The best yield of nearly 70% was realized at a high SiO2 powder density.

    DOI: 10.1143/JJAP.46.5695

    Web of Science

    researchmap

  • Seeding method with silicon powder for the formation of silicon spheres in the drop method Reviewed

    Zhengxin Liu, Takehiko Nagai, Atsushi Masuda, Michio Kondo, Kazutoshi Sakai, Koichi Asai

    JOURNAL OF APPLIED PHYSICS   101 ( 9 )   2007.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:AMER INST PHYSICS  

    Silicon spheres with a size distribution around 1.0 mm diameter, which are applicable to spherical solar cells, were formed by dropping molten silicon through a nozzle in a free-fall tube, namely, the drop method. Here we show a seeding technique for the formation of silicon spheres. In this technique, pure silicon powders with a size distribution of 1-75 mu m were ejected to the molten silicon droplets at a selected part of the free-fall tube using argon carrier gas. It was considered that the attached silicon powders on the droplets worked as nuclei and stimulated the solidification to occur at low undercooling from one place. Characterizations with scanning electron microscope, carrier lifetime, and photoluminescence measurements demonstrated that the crystallinity of silicon spheres were significant improved by the seeding method. The undercooling of molten silicon droplets at solidification was speculated to decrease from similar to 250 degrees C to below 50 degrees C by seeding power ejection. This resulted in an increase of average minority carrier lifetime from &lt; 0.1 mu s to &gt; 1.0 mu s. (C) 2007 American Institute of Physics.

    DOI: 10.1063/1.2718872

    Web of Science

    researchmap

  • Characterization of spherical Si by photoluminescence measurement Reviewed

    Takehiko Nagai, Zhengxin Liu, Atsushi Masuda, Michio Kondo

    JOURNAL OF APPLIED PHYSICS   101 ( 10 )   5   2007.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:AMER INST PHYSICS  

    Spherical silicon (Si) with a size of similar to 1 mm diameter was fabricated by the dropping method for the applications of spherical Si solar cells. In this research work, we characterized spherical Si by means of photoluminescence (PL) measurement at 4 and 18 K. The horn-type spherical Si crystals, formed under large undercooled conditions without a seeding technique, showed D-band luminescence originating from dislocations, whereas intrinsic PL bands of Si were not observed. In contrast, for the tear-type spherical Si crystals, formed under shadow undercooling by a seeding technique with Si powder, the boron (B) bound and Si intrinsic phonon-assisted PL bands were clearly observed both at 4 and 18 K. Moreover, the intensity ratio of B bound exciton band to Si intrinsic phonon-assisted PL band showed good correlation to the minority carrier lifetime measured with microwave photoconductance decay method. These experimental results suggested that the crystallinity of the tear-type spherical Si is significantly improved by the seeding technique compared with the horn-type ones, which contain a large amount of B-related defects. (c) 2007 American Institute of Physics.

    DOI: 10.1063/1.2736944

    Web of Science

    researchmap

  • Defect reduction in polycrystalline silicon thin films by heat treatment with high-pressure H2O vapor Reviewed

    Toshiyuki Sameshima, Hiromi Hayasaka, Masato Maki, Atsushi Masuda, Takuya Matsui, Michio Kondo

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   46 ( 3B )   1286 - 1289   2007.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:INST PURE APPLIED PHYSICS  

    We investigated defect reduction in laser crystallized polycrystalline silicon (poly-Si) films by heat treatment with 1.3 x 10(6)PaH(2)O vapor. The H2O vapor heat treatment at 260 degrees C for 6h reduced the spin density in laser crystallized poly-Si films from 2.0 x 10(18) (initial) to 6.5 x 10(16) cm(-3). The activation energy of the reaction for defect reduction was 0.26 eV. Photoconductivity under 532 nm light illumination at 100 mW/cm(2) was increased from 2.7 x 10(-6) (initial) to 3.3 x 10(-5) S/ cm by heat treatment for 1h. The oxygen concentration in the silicon films was increased by 1.1 x 10(19) cm(-3) by heat treatment, although the hydrogen concentration was decreased by 1.4 x 10(20) cm(-3). This suggests that oxygen atoms have an important role in defect state reduction in polycrystalline silicon films.

    DOI: 10.1143/JJAP.46.1286

    Web of Science

    researchmap

  • Systematic study on photoresist removal using hydrogen atoms generated on heated catalyzer Reviewed

    Kouhei Hashimoto, Atsushi Masuda, Hideki Matsumura, Tomoatsu Ishibashi, Kazuhisa Takao

    Thin Solid Films   501 ( 1-2 )   326 - 328   2006.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Photoresist removal is one of the most important techniques in the fabrication of semiconductor devices. Here, H atoms generated on heated W catalyzer from H2 gas molecules were used for photoresist removal instead of O2-plasma ashing. Resist removal conditions such as catalyzer temperature, catalyzer structure, substrate temperature, H2 pressure and H2 flow rate were extensively studied. It was found that removal rate over 1 μm/min is achieved for positive-type i-line resist. © 2005 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2005.07.287

    Scopus

    researchmap

  • High-rate deposition of SiNx films over 100 nm/min by Cat-CVD method at low temperatures below 80 °C Reviewed

    Tetsuo Osono, Akira Heya, Toshikazu Niki, Masahiro Takano, Toshiharu Minamikawa, Susumu Muroi, Atsushi Masuda, Hironobu Umemoto, Hideki Matsumura

    Thin Solid Films   501 ( 1-2 )   55 - 57   2006.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    SiNx films without absorption in visible range were prepared using a gaseous mixture of SiH4, NH3 and H2 with a deposition rate of 110 nm/min, keeping the substrate temperatures below 80 °C. These high-rate SiNx films were subjected to pressure cooker test (PCT) at 121 °C, 100% relative humidity (RH), 2 atm for 24 h. No oxidation for SiNx films was observed even after PCT. Film stress was measured by surface profilometry. Stress for these high-rate SiNx film is below 40 MPa. From these results, it is concluded that highly moisture resistive, low stress and transparent SiNx films can be prepared by Cat-CVD with deposition rates faster than 100 nm/min, which appears to satisfy industrial requirements. © 2006 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2005.11.056

    Scopus

    researchmap

  • Formation of highly moisture-resistive SiNx films on Si substrate by Cat-CVD at room temperature Reviewed

    Toshiharu Minamikawa, Akira Heya, Toshikazu Niki, Masahiro Takano, Yasuto Yonezawa, Susumu Muroi, Shigehira Minami, Atsushi Masuda, Hironobu Umemoto, Hideki Matsumura

    Thin Solid Films   501 ( 1-2 )   154 - 156   2006.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Silicon nitride (SiNx) films were prepared with a gas mixture of SiH4, NH3 and H2 on Si wafers at low temperatures using the catalytic chemical vapor deposition (Cat-CVD) method. For fixed flow-rates, properties for the SiNx films depending on substrate temperatures from 20 °C to 100 °C were investigated. The N/Si composition ratio depended little on the substrate temperature. When the substrate temperature was low, the refractive index decreased, the deposition rate increased slightly, the etch rate became large and the internal stress decreased. No variation appeared in the infrared absorption of the films before and after a pressure cooker test. It is found that highly moisture-resistant SiNx films without stress can be obtained at room temperature by using Cat-CVD.

    DOI: 10.1016/j.tsf.2005.07.173

    Scopus

    researchmap

  • Grain enlargement of polycrystalline silicon by multipulse excimer laser annealing: Role of hydrogen Reviewed

    Naoya Kawamoto, Atsushi Masuda, Naoto Matsuo, Yasuhiro Seri, Toshimasa Nishimori, Yoshitaka Kitamon, Hideki Matsumura, Hiroki Hamada, Tadaki Miyoshi

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   45 ( 4 A )   2726 - 2730   2006.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    The role of hydrogen introduced into melt-Si during excimer laser annealing (ELA) is examined from the viewpoint of grain enlargement. An amorphous silicon (a-Si)/SiN/quartz glass structure is successfully prepared by a catalytic chemical vapor deposition (Cat-CVD) method for a SiN film, in which the hydrogen concentration of the SiN film is controlled. The grain size increases as the hydrogen concentration decreases, and it partially exceeds 2μm when the hydrogen concentration of the SiN film is fixed at 2.3 at. %. The relationship between defects at grain boundary and hydrogen is also considered. © 2006 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.45.2726

    Scopus

    researchmap

  • Preparation of SiNx gate-insulating films for bottom-gate type TFTs by Cat-CVD method Reviewed

    Y Seri, A Masuda, H Matsumura

    THIN SOLID FILMS   501 ( 1-2 )   307 - 309   2006.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE SA  

    The properties of SiNx films prepared by catalytic chemical vapor deposition (Cat-CVD) on various gate-metals were studied for application of bottom-gate thin-film transistors (BG-TFTs). Additionally, the gate-insulating properties after rapid thermal annealing (RTA) were investigated for the fabrication of polycrystalline silicon (poly-Si) TFTs by simple RTA of amorphous silicon (a-Si:H) BG-TFTs. It was found that Cat-CVD SiNx on metals can be used as gate-insulating films of a-Si:H BG-TFTs and that the films can also be used even after RTA at 800 degrees C, when the surface of such metals is slightly oxidized. (c) 2005 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2005.07.302

    Web of Science

    researchmap

  • Present status and future feasibility for industrial implementation of Cat-CVD (Hot-Wire CVD) technology Reviewed

    H Matsumura, A Masuda, H Untemoto

    THIN SOLID FILMS   501 ( 1-2 )   58 - 60   2006.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE SA  

    This is to review the present status and future feasibility for the industrial implementation of the Cat-CVD (Hot-Wire CVD) technology. After a brief summary of the features and advantages of the Cat-CVD method, various efforts to industrial implementation are introduced, not only for semiconductor industry, but also for other fields such as chemical or mechanical engineering, biotechnology, textile and automobile industries. It is demonstrated that the Cat-CVD technology has a much wider applicability than expected, and that it has the potential to become a key technology in various industries. (c) 2005 Published by Elsevier B.V.

    DOI: 10.1016/j.tsf.2005.07.288

    Web of Science

    researchmap

  • Various applications of silicon nitride by catalytic chemical vapor deposition for coating, passivation and insulating films Reviewed

    A Masuda, H Umemoto, H Matsumura

    THIN SOLID FILMS   501 ( 1-2 )   149 - 153   2006.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE SA  

    Various applications of silicon nitride (SiNx) films prepared by catalytic chemical vapor deposition (Cat-CVD) as coating, passivation and insulating films are reviewed. Characteristic features of SiNx films by Cat-CVD are dense (low hydrogen content), low wet-etch rate, low oxygen or water-vapor transmission rate even when prepared at low temperatures below 300 degrees C and low stress. Therefore, SiNx films prepared by Cat-CVD are suitable as coating and passivation films for electronic devices, mechanical parts and plastic films. SiNx films prepared by Cat-CVD are, of course, also applicable as insulating films used in ultralarge-scale integrated circuits (ULSIs) and thin-film transistors (TFTs). These various applications are introduced, along with a summary of the fundamental properties of the SiNx films and a possible explanation as to why such dense films are obtained even at low temperatures. (c) 2005 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2005.07.172

    Web of Science

    researchmap

  • H-2 dilution effect in the Cat-CVD processes of the SiH4/NH3 system Reviewed

    SG Ansari, H Umemoto, T Morimoto, K Yoneyama, A Izumi, A Masuda, H Matsumura

    THIN SOLID FILMS   501 ( 1-2 )   31 - 34   2006.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE SA  

    Gas-phase diagnostics in the catalytic chemical vapor deposition processes of the SiH4/NH3/H-2 system were carried out to examine the effect of H-2 dilution. The decomposition efficiency of NH3 showed a sharp decrease with the introduction of a small amount of SiH4, but this decrease was recovered by the addition of H-2. When the NH3 pressure was low. On the other hand, at higher NH3 pressures, the decomposition efficiency showed a minor dependence on the H-2 partial pressure. The addition of SiH4 to the NH3 system decreases the H-atom density by one order of magnitude, but this decrease is also recovered by H-2 addition. H atoms produced from H-2 must re-activate the catalyzer surfaces poisoned by SiH4 when the NH3 pressure is low. (c) 2005 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2005.07.098

    Web of Science

    researchmap

  • Relation between pin a-Si : H solar-cell perfon-nances and intrinsic-layer properties prepared by Cat-CVD Reviewed

    T Kitamura, K Honda, M Nishimura, K Sugita, K Takemoto, Y Yamaguchi, Y Toyama, T Yamamoto, S Miyazaki, M Eguchi, T Harano, T Sugano, N Yoshida, A Masuda, T Itoh, T Toyama, S Nonomura, H Okamoto, H Matsumura

    THIN SOLID FILMS   501 ( 1-2 )   264 - 267   2006.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE SA  

    Relationship between performance of pin a-Si:H solar cells and the properties of intrinsic (i) layer prepared by catalytic chemical vapor deposition (Cat-CVD) was studied in detail. Properties of i-layers obtained at the various deposition parameters were investigated, and solar cells were fabricated by using such i-layers. It was found that the optimum temperature to obtain i-layers for high-efficiency solar cells is higher than that of plasma-enhanced chemical vapor deposition (PECVD) solar cells. Although i-layer was prepared at high temperatures, impurity diffusion from p-layer to Cat-CVD i-layer was suppressed. Performance of solar cells using i-layer prepared at the optimum temperature was nearly equivalent to that of conventional PECVD solar cells, while the stability of Cat-CVD cells appears to be more improved than that of PECVD ones. (c) 2005 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2005.07.251

    Web of Science

    researchmap

  • Improvement of crystallinity and solar cell efficiency of spherical silicon by seeding crystallization techniques Reviewed

    Zhengxin Liu, Atsushi Masuda, Kazutoshi Sakai, Koichi Asai, Michio Kondo

    CONFERENCE RECORD OF THE 2006 IEEE 4TH WORLD CONFERENCE ON PHOTOVOLTAIC ENERGY CONVERSION, VOLS 1 AND 2   1238 - 1241   2006

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Silicon spheres were fabricated from melted silicon by dropping method. In order to improve the quality of silicon spheres, we developed a novel seeding crystallization technique for the solidification of silicon droplets in high-speed dropping. High-pure silicon powders were used as seeding source material. They were embedded into the silicon droplets by floating and confining within a specific region on the dropping route. Experimental results demonstrated that this method is very effective on improving the crystalline quality and on reducing the defects and dislocations of the silicon spheres. A solar call module having about 4x lens concentrator was developed without polishing the spheres. A conversion efficiency of 11.3% under AM1.5, 100 mW/cm(2) sunlight was achieved from single sphere with size of 1.0 mm in diameter.

    Web of Science

    researchmap

  • Air-stable n-type carbon nanotube field-effect transistors with Si 3N4 passivation films fabricated by catalytic chemical vapor deposition Reviewed

    Daisuke Kaminishi, Hirokazu Ozaki, Yasuhide Ohno, Kenzo Maehashi, Koichi Inoue, Kazuhiko Matsumoto, Yasuhiro Seri, Atsushi Masuda, Hideki Matsumura

    Applied Physics Letters   86 ( 11 )   1 - 3   2005.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Air-stable n-type carbon nanotube field-effect transistors (CNTFETs) were fabricated, with Si3N4 passivation films formed by catalytic chemical vapor deposition (Cat-CVD). Electrical measurements reveal that the p-type characteristics of CNTFETs are converted to n-type after fabricating Si3N4 passivation films at 270°C. This indicates that adsorbed oxygen on the CNT sidewalls was removed during the formation process of the Si3N4 passivation films. In addition, the source-drain current of the n-type CNTFETs does not change over time under vacuum, or in air. Consequently, the n-type CNTFETs are completely protected by the Si3N4 passivation film from further effects of ambient gases. Therefore, Cat-CVD is one of the best candidates to fabricate Si3N4 passivation films on CNTFETs. © 2005 American Institute of Physics.

    DOI: 10.1063/1.1886898

    Scopus

    researchmap

  • Low-temperature Formation of Gas-barrier Films by Catalytic Chemical Vapor Deposition

    MASUDA Atsushi, NIKI Toshikazu, HEYA Akira, MINAMIKAWA Toshiharu, UMEMOTO Hironobu, MATSUMURA Hideki

    Ceramics Japan   40 ( 2 )   82 - 87   2005.2

     More details

    Language:Japanese   Publisher:日本セラミックス協会  

    CiNii Article

    CiNii Books

    researchmap

  • Quantification of gas-phase H-atom number density by tungsten phosphate glass Reviewed

    T Morimoto, H Umemoto, K Yoneyama, A Masuda, H Matsumura, K Ishibashi, H Tawarayama, H Kawazoe

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   44 ( 1B )   732 - 735   2005.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:JAPAN SOC APPLIED PHYSICS  

    It is shown that H-atom densities in the gas phase can be evaluated by simply measuring the change in optical transmittance of tungsten phosphate glass plates. Tungsten oxide (WO(3)) doped in phosphate glass plates can be reduced by exposure to H atoms and the degree of reduction can be evaluated from the change in their optical transmittance. The difference in the logarithms of the transmittances before and after the reduction showed a linear dependence on the H-atom density evaluated by a vacuum-ultraviolet laser absorption technique. No change in the transmittance was observed in the absence of H atoms, showing that reduction Of WO(3) by H(2) molecules can be ignored.

    DOI: 10.1143/JJAP.44.732

    Web of Science

    researchmap

  • Contamination removal from EUV multilayer using atomic hydrogen generated by heated catalyzer Reviewed

    H. Oizumi, H. Yamanashi, I. Nishiyama, K. Hashimoto, S. Ohsono, A. Masuda, A. Izumi, H. Matsumura

    Progress in Biomedical Optics and Imaging - Proceedings of SPIE   5751 ( II )   1147 - 1154   2005

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    A rapid, damage-free method of removing carbon contamination from EUV multilayer has been developed that employs atomic hydrogen generated by a heated catalyzer consisting of a W wire. Test samples were prepared by contaminating Mo/Si multilayers with carbon using EB or synchrotron radiation (SR). The insertion of a thermal shield between the catalyzer and a sample prevented radiant heat from the catalyzer from damaging the sample during cleaning. Ex situ XPS measurements and measurements of the thickness of the carbon layer with optical thickness measurement systems showed that the new treatment completely removes carbon from multilayers. The EUV reflectivity of multilayers was measured before and after cleaning to assess any resulting damage. It was found that cleaning changed the reflectivity and the centroid wavelength only marginally, within the measurement error.

    DOI: 10.1117/12.601136

    Scopus

    researchmap

  • Effect of atomic hydrogen on preparation of highly moisture-resistive SiNx films at low substrate temperatures Reviewed

    Akira Heya, Toshikazu Niki, Masahiro Takano, Yasuto Yonezawa, Toshiharu Minamikawa, Susumu Muroi, Shigehira Minami, Akira Izumi, Atsushi Masuda, Hironobu Umemoto, Hideki Matsumura

    Japanese Journal of Applied Physics, Part 2: Letters   43 ( 12 A )   L1546 - L1548   2004.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Highly moisture-resistive SiNx, films on a Si substrate are obtained at substrate temperatures of 80°C by catalytic chemical vapor deposition (Cat-CVD) using a source gas with H2. Atomic hydrogen effected the selective etching of a weak-bond regions and an increase in atomic density induced by the energy of the surface reaction. It is concluded that Cat-CVD using H2 is a promising candidate for the fabrication of highly moisture-resistive SiNx films at low temperatures.

    DOI: 10.1143/JJAP.43.L1546

    Scopus

    researchmap

  • Highly moisture-resistive SiNx films prepared by catalytic chemical vapor deposition Reviewed

    Akira Heya, Toshikazu Niki, Yasuto Yonezawa, Toshiharu Minamikawa, Susumu Muroi, Akira Izumi, Atsushi Masuda, Hironobu Umemoto, Hideki Matsumura

    Japanese Journal of Applied Physics, Part 2: Letters   43 ( 10 B )   L1362 - L1364   2004.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Silicon nitride (SiNx) films on Si and poly(ethylene terephthalate) (PET) substrates were prepared at approximately 150°C by catalytic chemical vapor deposition (Cat-CVD), using a SiH4/NH 3 gas mixture. A water vapor transmission rate as low as 0.2g/m 2day and an O2 gas transmission rate of 0.6cm 3/m2day were achieved for a stoichiometric Si 3N4 film of 77 nm thickness. Although these transmission rates depended on N/Si ratio, no optical absorption was observed under preferable deposition conditions.

    DOI: 10.1143/JJAP.43.L1362

    Scopus

    researchmap

  • Correlation between O/Er content ratio and photoluminescence intensity of (Er, O)-doped hydrogenated amorphous Si thin films prepared by a catalytic chemical vapor deposition/laser ablation hybrid process Reviewed

    Joe Sakai, Atsushi Masuda, Haruo Akiyama, Osamu Eryu, Kenshiro Nakashima, Hideki Matsumura

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   43 ( 7 A )   4198 - 4201   2004.7

     More details

    Publishing type:Research paper (scientific journal)  

    (Er, O)-doped hydrogenated amorphous Si (a-Si:H) thin films were prepared on Si or SiO2 substrates by a novel catalytic chemical vapor deposition (Cat-CVD)/laser ablation hybrid process which simultaneously performs a Cat-CVD of a-Si:H matrices and a doping of laser-ablated (Er, O) from an Er2O3 sintered target. These (Er, O)-doped a-Si:H films showed excellent photoluminescence (PL) properties in spite of the low temperature of the process (200°C during deposition and no postannealing). The Er and O contents of these films were evaluated by Rutherford backscattering and non-Rutherford elastic resonance scattering (NRERS), respectively. It was clarified that the dependence of the (Er, O) content on ambient pressure was not identical for O and Er. Thus, the O/Er content ratio, correlated to the PL intensity, strongly depended on the ambient pressure.

    DOI: 10.1143/JJAP.43.4198

    Scopus

    researchmap

  • Study on change in SIMS intensities near the interface between silicon-nitride film and silicon substrate Reviewed

    Takahiro Hasegawa, Tomotsugu Date, Akiya Karen, Atsushi Masuda

    Applied Surface Science   231-232   725 - 728   2004.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    When analyzing insulating films on silicon substrates by quadrupole SIMS instruments, large variations in secondary ion intensity near the interface often occur. In this paper, we investigated these phenomena by analyzing silicon-nitride films deposited by chemical vapor deposition (CVD) at different conditions. It has been found that these variations are caused by a change in the energy distribution due to the insulating properties of the films. Further, the observed SIMS behavior seems to be related to the breakdown field strength of the insulating films. © 2004 Published by Elsevier B.V.

    DOI: 10.1016/j.apsusc.2004.03.032

    Scopus

    researchmap

  • Catalytic decomposition of HCN on heated W surfaces to produce CN radicals Reviewed

    H Umemoto, T Morimoto, M Yamawaki, Y Masuda, A Masuda, H Matsumura

    JOURNAL OF NON-CRYSTALLINE SOLIDS   338   65 - 69   2004.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE BV  

    The catalytic decomposition processes of HCN on heated W surfaces were examined using laser spectroscopic and mass-spectrometric techniques. H atoms and CN radicals were identified as primary decomposition products on the catalyzer surfaces. The effective enthalpy for the production of CN was determined to be 370 kJ mol(-1). The decomposition efficiency of HCN approaches 30% when the catalyzer temperature is over 2000 K. This efficiency is around half of that for H-2. If CN radicals produced in this manner can be doped in a-Si:H to terminate the dangling bonds, this could be a new technique to suppress the photodegradation of a-Si:H. (C) 2004 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.jnoncrysol.2004.02.023

    Web of Science

    researchmap

  • Highly moisture-resistive silicon nitride films prepared by catalytic chemical vapor deposition and application to gallium arsenide field-effect transistors Reviewed

    A Masuda, M Totsuka, T Oku, R Hattori, H Matsumura

    VACUUM   74 ( 3-4 )   525 - 529   2004.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:PERGAMON-ELSEVIER SCIENCE LTD  

    Moisture resistivity for silicon nitride (SiNx) films prepared by catalytic chemical vapor deposition (Cat-CVD) was examined. SiNx films prepared by Cat-CVD and those by plasma-enhanced chemical vapor deposition (PECVD) were subjected to the pressure cooker test (PCT) in H2O vapor at 2atm and 121degreesC for 96h. It was confirmed after PCT that the intensity of the signal due to Si-O bonds in Fourier-transform infrared absorption spectra remarkably increases for PECVD films although little increase is observed for Cat-CVD films. Low stress of the order of 10 MPa was also obtained for SiNx films prepared by Cat-CVD. SiNx passivation by Cat-CVD brings about low leakage current and high mutual conductance for gallium arsenide self-align-gate field-effect transistors. (C) 2004 Elsevier Ltd. All rights reserved.

    DOI: 10.1016/j.vacuum.2004.01.023

    Web of Science

    researchmap

  • Cat-CVD (hot-wire CVD): how different from PECVD in preparing amorphous silicon Reviewed

    H Matsumura, H Umemoto, A Masuda

    JOURNAL OF NON-CRYSTALLINE SOLIDS   338   19 - 26   2004.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE BV  

    Catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD, is a new method to prepare device quality thin films at low substrate temperatures. In this method, deposition species are generated by the catalytic cracking reaction of source gases on the heated catalyzer, instead of the collision between energetic electrons and molecules of source gases in the conventional plasma-enhanced CVD (PECVD). The difference between Cat-CVD and PECVD in generating deposition species causes the differences in both deposition mechanism and the properties of thin films such as amorphous silicon (a-Si). In this review paper, at first, recent understandings on deposition mechanism of Cat-CVD are introduced. Secondly, based on such understandings, unique properties of Cat-CVD a-Si films are explained, and finally their device applications are demonstrated to show the feasibility of Cat-CVD technology. (C) 2004 Published by Elsevier B.V.

    DOI: 10.1016/j.jnoncrysol.2004.02.014

    Web of Science

    researchmap

  • Preparation of wide gap and low resistive hetero-structured SiCx films as wide gap window of solar cells Reviewed

    T Itoh, Y Hasegawa, T Fujiwara, A Masuda, S Nonomura

    JOURNAL OF NON-CRYSTALLINE SOLIDS   338   123 - 126   2004.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE BV  

    The properties of the B-doped hetero-structured silicon carbon alloy (SiCX) films deposited by hot-wire CVD using SiH4, C2H6, B2H6 and H-2 under a low film surface temperature, T-S, condition have been studied. The carbon content, C/(Si + C), was controlled with the C2H6/SiH4 ratio in the low T-S deposition. The optical energy gap, E-O4, in the low T-S sample with small C/(Si + C) was almost same as that of the high T-S sample with large C/(Si + C). The dark conductivity (1.05 x 10(-1) S/cm) of the low T-S sample deposited with B2H6/SiH4 of 0.3% showed much larger than that of the high T-S sample with the almost same E-O4. (C) 2004 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.jnoncrysol.2004.02.035

    Web of Science

    researchmap

  • Nitridation of ultrathin SiO2 layers in metal-ferroelectric- insulator-semiconductor structures Reviewed

    Masakazu Hirakawa, Gen Hirooka, Minoru Noda, Masanori Okuyama, Kazuhiro Honda, Atsushi Masuda, Hideki Matsumura

    Integrated Ferroelectrics   68   29 - 36   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Ultrathin SiO2 films of 7.5 nm thickness have been nitrided by Catalytic-Chemical Vapor Deposition (Cat-CVD) or by plasma nitridation and used as insulator (buffer) layers in metal-ferroelectric-insulator-semiconductor (MFIS) structures. That allows us to make the buffer layers as thin as possible while maintaining good barrier properties between ferroelectric and buffer layer while improving interface properties and the memory retention characteristic. The X-ray Photoelectron Spectroscopy (XPS) revealed a nitrogen peak only for SiO2 treated using plasma treatment or Cat-CVD treatment at 1750°C, the peak not being detected if the treatment was performed at 1500°C. Nitrogen atom concentration in treated SiO2 decreases gradually from the Sio2 surface. Capacitance-voltage (C-V) and retention characteristics of the MFIS structures are clearly improved by using SiO2 nitrided by plasma treatment or Cat-CVD. Memory window in C-V characteristics is 1.8 V for the MFIS structures with plasma-nitrided SiO 2, being twice as large as for samples with Cat-CVD-nitrided SiO 2. Memory retention is extended considerably by the nitridation and this process has been found to be very effective to improve interface properties of MFIS structure, enabling us to make the buffer layer thinner while maintaining a good barrier property between ferroelectric and buffer layer.

    DOI: 10.1080/10584580490895572

    Scopus

    researchmap

  • Effect of H2 dilution in the catalytic CVD processes of SiH4/NH3 system Reviewed

    Takashi Morimoto, Shafeeque A.A.G. Ansari, Koji Yoneyama, Hironobu Umemoto, Atsushi Masuda, Hideki Matsumura

    IMFEDK 2004 - International Meeting for Future of Electron Devices, Kansai   97 - 98   2004

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    Catalytic chemical vapor deposition (Cat-CVD) is one of the most promising techniques for preparing thin SiNx films at low substrate temperatures by using SiH4 and NH3 as material gases (Matsumura et al., 2001 and Osono et al., 2003). One of the problems in this technique has been the low decomposition efficiency of NH3 in the presence of SiH4. The decomposition efficiency decreases sharply by the introduction of a small amount of SiH4 (Umemoto, 2003). Recently, it has been found that the addition of H2 improves not only the decomposition efficiency of NH3 in the presence of SiH4 but also the SiNx film quality (Mahan et al., 2003 and Wang et al., 2004). In the present work, the catalytic decomposition efficiency of NH3 in the SiH4/NH3/H2 system is determined. The absolute H-atom densities were also measured under several conditions.

    DOI: 10.1109/IMFEDK.2004.1566426

    Scopus

    researchmap

  • Control of stress in SiN_x films on Si substrate prepared by Cat-CVD method

    TAKANO Masahiro, HEYA Akira, NIKI Toshikazu, YONEZAWA Yasuto, MINAMIKAWA Toshiharu, MUROI Atsushi, MASUDA Atsushi, UMEMOTO Hironobu, MATSUMURA Hideki

    The proceedings of the JSME annual meeting   2004   387 - 388   2004

     More details

    Language:Japanese   Publisher:The Japan Society of Mechanical Engineers  

    Silicon nitride (SiN_x) films were deposited on Si substrates at 80℃ by using a catalytic chemical vapor deposition (Cat-CVD) technique to investigate the optimal deposition condition. SiH_4 flow rate was varied from 6 to 18 sccm. It is shown that the stress, Young's modulus, fracture toughness and film composition strongly depend on SiH_4 flow rate. These changes of film properties are related to the gases desorption from growing surface. The amount of the gases desorption reaction depends on deposition rate, that is, the rate of the Si-H insertion reaction. The desorption of hydrogen and ammonia gases from growing surface causes the shrinkage of the film surface, which generates tensile stress. Also, SiN_x films with high hydrogen contents show low stress, low Young's modulus and high fracture toughness.

    DOI: 10.1299/jsmemecjo.2004.1.0_387

    CiNii Article

    CiNii Books

    researchmap

  • Radical species formed by the catalytic decomposition of NH3 on heated W surfaces Reviewed

    H Umemoto, K Ohara, D Morita, T Morimoto, M Yamawaki, A Masuda, H Matsumura

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS   42 ( 8 )   5315 - 5321   2003.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:INST PURE APPLIED PHYSICS  

    The catalytic decomposition processes of NH3 On heated W surfaces were examined by employing laser spectroscopic techniques. H atoms and NH2 radicals were identified as primary decomposition products on the catalyzer surfaces. The effective enthalpies for the production of these species were both determined to be 150kJ/mol. NH radicals were also identified, but the production of this species is ascribed to secondary processes. N atoms are minor products in both the primary and secondary processes. The absolute density measurements show that the decomposition efficiency of NH3 is comparable to that of H-2. The steady-state densities of NH3 and the stable products, H-2 and N-2, were also measured by mass spectrometry. When the catalyzer temperature is over 2000 K, the H-2 density is comparable to that of residual NH3. H atoms are produced not only by the direct decomposition of NH3 but also by the decomposition of H.

    DOI: 10.1143/JJAP.42.5315

    Web of Science

    researchmap

  • Catalytic Chemical Vapor Deposition: Recent Development and Future Prospects Reviewed

    Atsushi Masuda, Akira Izumi, Hironobu Umemoto, Hideki Matsumura

    Shinku/Journal of the Vacuum Society of Japan   46 ( 2 )   92 - 97   2003

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3131/jvsj.46.92

    Scopus

    researchmap

  • Preferential In-N bond formation in InGaAsN layers Reviewed

    M Uchida, A Masuda, A Yamamoto, A Hashimoto

    5TH INTERNATIONAL CONFERENCE ON NITRIDE SEMICONDUCTORS (ICNS-5), PROCEEDINGS   0 ( 7 )   2745 - 2748   2003

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:WILEY-VCH, INC  

    Systematic Raman scattering characterization for RF-MBE grown InGaAsN layers has been performed to investigate the preferential In-N bond formation. The present Raman results strongly indicate that the isolated In-N bonds have been preferentially formed mainly in the In-rich regions and that the growth temperature and the post-growth anneal cause some changes in the In-N bond formation in the InGaAsN layers. (C) 2003 WILEYNCH Verlag GmbH & Co. KGaA, Weinheim.

    DOI: 10.1002/pssc.200303314

    Web of Science

    researchmap

  • Preferential In-N bond formation in InGaAsN layers Reviewed

    M. Uchida, A. Masuda, A. Yamamoto, A. Hashimoto

    Physica Status Solidi C: Conferences   ( 7 )   2745 - 2748   2003

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Systematic Raman scattering characterization for RF-MBE grown InGaAsN layers has been performed to investigate the preferential In-N bond formation. The present Raman results strongly indicate that the isolated In-N bonds have been preferentially formed mainly in the In-rich regions and that the growth temperature and the post-growth anneal cause some changes in the In-N bond formation in the InGaAsN layers. © 2003 WILEY-VCH Verlag GmbH &amp
    Co. KGaA.

    DOI: 10.1002/pssc.200303314

    Scopus

    researchmap

  • Optical properties of RF-MBE grown AlGaAsN Reviewed

    K. Yamamoto, M. Uchida, A. Yamamoto, A. Masuda, A. Hashimoto

    Physica Status Solidi (B) Basic Research   234 ( 3 )   915 - 918   2002.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Raman scattering and infrared absorption properties of RF-MBE grown AlGaAsN layers have been reported in order to investigate the microscopic lattice structures related with the nitrogen incorporation. Several new Raman modes of the Al-N bonds have been observed at 449, 500, and 650 cm-1 in the Raman spectra of AlGaAsN (Al: 18-100%, N: ∼2%) instead of the mode of Ga-N bonds. The results strongly indicated that most of the N atoms form the Al-N bonds in the AlGaAsN layers. The infrared absorption spectra of the AlGaAsN have shown clearly that the TOAlN mode appears at 500 cm-1, instead of the TOGaN mode that appeared at 469 cm-1 in GaAsN. The peak shift and the broadening of the absorption peak of the TOAlN mode will be discussed in terms of variation of the statistical distributions of the AlnGa4-nN (n = 0, 1, 2, 3, 4) tetrahedral unit structures with increasing the Al amount.

    DOI: 10.1002/1521-3951(200212)234:3<915::AID-PSSB915>3.0.CO;2-8

    Scopus

    researchmap

  • Oxidation process in pulsed laser ablation of Si with various ambients Reviewed

    A Masuda, S Usui, Y Yamanaka, Y Yonezawa, T Minamikawa, M Suzuki, A Morimoto, M Kumeda, T Shimizu

    THIN SOLID FILMS   416 ( 1-2 )   106 - 113   2002.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE SA  

    Dynamics of pulsed laser ablation of Si is studied in various kinds of ambients. It is found that the shape of droplets on the films prepared by pulsed laser ablation is influenced by both the ambient pressure and the distance between the target and the substrate. Oxidation of ablated Si species in O-2 ambient is also controlled by these two parameters. Oxidation of Si in low-pressure O-2 ambient is enhanced by the addition of high-pressure He, which is possibly explained by the reaction due to enhanced collision in the shock front formed in high-pressure ambient. (C) 2002 Elsevier Science B.V All rights reserved.

    Web of Science

    researchmap

  • Preparation of Amorphous Silicon Films and Device Application by Catalytic Chemical Vapor Deposition Method Reviewed

    Atsushi Masuda, Hideki Matsumura

    Shinku/Journal of the Vacuum Society of Japan   45 ( 10 )   727 - 732   2002

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3131/jvsj.45.727

    Scopus

    researchmap

  • Recent progress in industrial applications of Cat-CVD (hot-wire CVD) Reviewed

    A Masuda, A Izumi, H Umemoto, H Matsumura

    AMORPHOUS AND HETEROGENEOUS SILICON-BASED FILMS-2002   715   111 - 122   2002

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:MATERIALS RESEARCH SOCIETY  

    Rapid progresses are achieved in catalytic CVD (Cat-CVD), often called hot-wire CVD, in the past 3-years NEDO national project in Japan. Cat-CVD technology presents many advantages in thin-film formation processes; high-efficiency of gas use, large-area deposition, no ion bombardment and low-temperature deposition even below 200 ()C. All of the elemental techniques for the industrially applicable Cat-CVD apparatuses, such as the suppression of the metal contamination, the precise control of the substrate temperature, the life extension of the catalyzer, 1-m size uniform deposition and the chamber cleaning, have been completely developed. Sophisticatedly designed substrate holder with electrostatic chuck and showerhead equipped with catalyzers are both key technologies for these achievements. High reproducibility for film properties is also obtained by controlling the reaction between high-density radicals and chamber walls. Prototype mass-production apparatus for SiNx passivation films in GaAs devices has been already developed and this will be probably the first application of Cat-CVD in industry. These recent movements appear to promise the drastic revolution in semiconductor and flat-panel display industries by introducing Cat-CVD in very near future.

    Web of Science

    researchmap

  • Cat-CVD as a new fabrication technology of semiconductor devices Reviewed

    H Matsumura, A Izumi, A Masuda

    COMMAD 2002 PROCEEDINGS   323 - 328   2002

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Cat-CVD, often called Hot-Wire CVD. is a new method to obtain device quality thin films at low substrate temperatures. In the method, gas molecules are decomposed by catalytic cracking reactions on heated catalyzer placed near substrates, instead of plasma decomposition in the conventional plasma enhanced CVD (PECVD). This paper is to review this Cat-CVD from fundamental mechanisms to device application. The features of Cat-CVD are demonstrated with comparison of PECVD.

    Web of Science

    researchmap

  • RF-MBE growth and Raman scattering characterization of lattice-matched GaInNAs on GaAs(001) substrates Reviewed

    A Hashimoto, T Furuhata, T Kitano, AK Nguyen, A Masuda, A Yamamoto

    JOURNAL OF CRYSTAL GROWTH   227   532 - 535   2001.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE BV  

    RF-MBE growth of GaInNAs systems on GaAs(0 0 1) substrates and Raman characterizations of the local structures of the grown layers have been investigated. Indium beam irradiation during the GaInNAs growth has a strong influence on the nitrogen incorporation to the grown layers. Raman scattering measurements have revealed that the formation of ordered domains such as (GaN)(GaAs) natural superlattice cluster in the GaInNAs layers is suppressed by the In incorporation.. (C) 2001 Elsevier Science B.V. All rights reserved.

    Web of Science

    researchmap

  • An indium surfactant effect in cubic GaN Rf-MBE growth Reviewed

    Y Nishio, H Mori, A Masuda, A Yamamoto, A Hashimoto

    PROCEEDINGS OF THE INTERNATIONAL WORKSHOP ON NITRIDE SEMICONDUCTORS   1   178 - 181   2000

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:INST PURE APPLIED PHYSICS  

    Effect of In beam irradiation during GaN growth on GaAs (001) substrate by rf-molecular beam epitaxy has been investigated. Surface morphology and FWHM of X-ray diffraction patterns of the GaN grown layers under the In beam irradiation become smoother and narrower than the non-irradiation cases. The results strongly indicate that the In beam irradiation has a surfactant effect in the c-GaN growth.

    Web of Science

    researchmap

  • Effects of active ammonia gas cracked in catalytic-CVD on PZT ferroelectric capacitors Reviewed

    T Minamikawa, Y Yonezawa, Y Fujimori, T Nakamura, A Masuda, H Matsumura

    FERROELECTRIC THIN FILMS VIII   596   271 - 275   2000

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:MATERIALS RESEARCH SOCIETY  

    We investigated the effects of exposure to active ammonia (NH,) gas generated by catalytic chemical vapor deposition (Cat-CVD) apparatus on ferroelectric Pb(Zr0.52Ti0.48)O-3 (PZT) capacitors. It is very important to know these effects in order to apply Cat-CVD SiNx films to passivation films for ferroelectric FRAMs. The exposure to active NH3 was carried out for PZT film capacitors with two types of bottom electrodes on Si wafer at various substrate temperatures. The capacitor with Pt/IrO2 bottom electrode peeled off from substrate during exposure over 200 degreesC. On the other hand, the ferroelectricity of the capacitors with IrO2 bottom electrodes gradually degraded from 200 degreesC to 300 degreesC. As a result, it is found that no degradation of the ferroelectricity is detected for exposure below 200 degreesC. It is concluded that the Cat-CVD method is a promising candidate for preparation of the SiNx passivation film on ferroelectrics, since it is a low stressed film with low hydrogen content.

    Web of Science

    researchmap

  • Cat-CVD process and its application to preparation of Si-based thin films Reviewed

    H Matsumura, A Masuda, A Izumi

    AMORPHOUS AND HETEROGENEOUS SILICON THIN FILMS: FUNDAMENTALS TO DEVICES-1999   557   67 - 78   1999

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:MATERIALS RESEARCH SOCIETY  

    This is to review the present understanding on Cat-CVD (catalytic chemical vapor deposition) or hot wire CVD. Firstly, the deposition mechanism in Cat-CVD process is briefly mentioned along with key issues such as the effect of heat radiation and a method to avoid contamination from the catalyzer. Secondly, the properties of Cat-CVD Si-based thin films such as amorphous silicon (a-Si), polycrystalline silicon (poly-Si) and silicon nitride (SiN(x)) films are demonstrated, and finally, the feasibility of such films for industrial application is discussed.

    Web of Science

    researchmap

  • Structural studies on hydrogenated amorphous germanium-carbon films prepared by RF sputtering Reviewed

    M Kumeda, A Masuda, T Shimizu

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS   37 ( 4A )   1754 - 1759   1998.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:JAPAN J APPLIED PHYSICS  

    ESR signals for hydrogenated amorphous Ge-C alloy films prepared by magnetron sputtering were deconvoluted into signals originating from a Ge dangling bond and a C dangling bond. It was determined from the results of the deconvolution that the number of Ge dangling bonds per Ge atom is ten to sixty times larger than that of C dangling bonds per C atom. In contrast to the case of Ge-Si alloy films, in which the number of Ge dangling bonds per Ge atom decreases by increasing the Si content because of the increase in the density of Si-H bonds, the number of Ge dangling bonds per Ge atom increases by increasing the C content in Ge-C alloy films, although the density of C-H bonds increases. This finding may he caused by an increase in the structural randomness because the difference in the covalent bond radius between Ge and C is quite large.

    Web of Science

    researchmap

  • Low-temperature nitridation of Si surface using gas-decomposition reaction in cat-CVD method Reviewed

    A Izumi, A Masuda, H Matsumura

    PROCEEDINGS OF THE INTERNATIONAL SYMPOSIUM ON THIN FILM MATERIALS, PROCESSES, RELIABILITY, AND APPLICATIONS: THIN FILM PROCESSES   97 ( 30 )   277 - 282   1998

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:ELECTROCHEMICAL SOCIETY INC  

    This paper reports a procedure for low-temperature nitridation of silicon surfaces using species produced by NH, catalytic-cracking decomposition on heated tungsten in catalytic chemical vapor deposition (cat-CVD) system. The surfaces of Si(100) are nitrided at temperatures as low as 200 degrees C. Silicon oxinitride films are obtained with a stoichiometry Si:N:O=1:0.9:0.3. Smooth surface morphology, whose root mean square (rms) roughness is 0.29 nm, is obtained.

    Web of Science

    researchmap

  • Novel surface cleaning of GaAs and formation of high quality SiNx films by cat-CVD method Reviewed

    A Izumi, A Masuda, S Okada, H Matsumura

    COMPOUND SEMICONDUCTORS 1996   ( 155 )   343 - 346   1997

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP PUBLISHING LTD  

    We proposed a novel surface cleaning technology of GaAs and formation of high quality silicon nitride (SiNx) films by catalytic chemical vapor deposition (cat-CVD) method. An H-2 or an NH3 gas was used for the surface cleaning of (100)GaAs without any chemical treatment. XPS measurements revealed obvious reduction of intensities of oxygen related peaks of Ga(3d) and As(3d). Using a SiH4 and NH3 gas mixture, stoichiometric SiN, films with low hydrogen content were obtained under 300 degrees C by this same method.

    Web of Science

    researchmap

  • X-ray photoelectron spectroscopy of GaN layer formed on GaAs by NH3-plasma nitridation and successive excimer-laser irradiation Reviewed

    A Masuda, Y Yonezawa, A Morimoto, T Shimizu

    SILICON CARBIDE AND RELATED MATERIALS 1995   142   1039 - 1042   1996

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP PUBLISHING LTD  

    GaN layer with the thickness of several tens Angstrom was formed on GaAs substrates using the rf NH3-plasma nitridation technique. The surface-nitridation process was characterized using X-ray photoelectron spectroscopy (XPS) with changing the photoelectron take-off angle. The short-time or the low-temperature nitridation brings about the unstable nitrided layer containing As-N bonds. Excimer-laser irradiation onto such unstable nitrided layer decreases the number of As-N bonds, consequently enhances the growth of GaN. The difference in the nitridation rate among (100), (111)A and (111)B GaAs is also investigated.

    Web of Science

    researchmap

▶ display all

Books

  • Recent progress in industrial applications of Cat-CVD (hot-wire CVD)

    Amorphous and Heterogeneous Silicon-Based Films -2001, Materials Research Society Symposium Proceedings  2002 

     More details

  • Properties of large grain-size poly-Si films by catalytic chemical sputtering

    Amorphous and Heterogeneous Silicon-Based Films -2001, Materials Research Society Symposium Proceedings  2001 

     More details

  • 200 ℃ preparation of SiNx passivation films for PZT ferroelectric capacitors by catalytic CVD

    Ferroelectric Thin Films (]G0009[), Materials Research Society Symposium Proceedings  2001 

     More details

  • Low temperature formation of passivation layers for compound semiconductors by catalytic CVD technique

    Proceedings of 8th International Symposium on the Passivity of Metals and Semiconductors  2001 

     More details

  • An indium surfactant effect in cubic GaN vf-MBE growth

    Proceedings of International Workshop on Nitride Semiconductors, IPAP Conference Series  2000 

     More details

  • Gas-phase and surface reactions of decomposed species in catalytic CVD

    Amorphous and Heterogeneous Silicon Thin Films-2000, Materials Research Society Symposium Proceedings  2000 

     More details

  • Drastic revolution in catalytic CVD using"catalytic plate"instead of "hot wire"

    Amorphous and Heterogeneous Silicon Thin Films-2000, Materials Research Society Symposium Proceedings  2000 

     More details

  • Effects of active ammonia gas cracked in catalytic-CVD on PZT ferroelectivic capacitors

    Ferroelectric Thin Films (]G0008[), Matevials Research Society Symposium Proceedings  2000 

     More details

  • Raman scattering characterization of annealed GaN<sub>x</sub> As<sub>1-x</sub> layers

    Proceedings of International Workshop on Nitride Semiconductors, IPAP Conference Series  2000 

     More details

  • Cat-CVD process and its application to preparation of Si-based thin films

    Amorphous and Heterogeneous Silicon Thin Films : Fundamentals to Devices-1999, Materials Research Society Symposium Proceedings  1999 

     More details

  • Low temperature nitridation of Si surface using gas-decomposition reaction in cat-CVD method

    Proceedings of the International Symposium on Thin Film Materials, Precesses, Reliability, and Applications(The Electrochemical Society, Inc. , Pennington)  1998 

     More details

  • Novel surface cleaning of GaAs and formation of high quality SiNx films by cat-CVD method

    Institute of Physics Conference Series(Institute of Physics Publishing, Bristol)  1997 

     More details

  • X-ray photoelectron spectroscopy of GaN layer formed on GaAs by NH<sub>3</sub>-plasma nitridation and successive excimer-laser irradiation

    Institute of Physics Conference Series(Institute of Physics Publishing, Bristol)  1996 

     More details

▶ display all

MISC

  • Lamination-interface-dependent deacetylation of ethylene vinyl acetate encapsulant in crystalline Si photovoltaic modules evaluated by positron annihilation lifetime spectroscopy

    Hideaki Hagihara, Hiroaki Sato, Yukiko Hara, Sachiko Jonai, Atsushi Masuda

    Japanese Journal of Applied Physics   57   2018.8

     More details

    © 2018 The Japan Society of Applied Physics. The generation of acetic acid from the ethylene vinyl acetate (EVA) encapsulant in crystalline Si photovoltaic (PV) modules was investigated by free-volume analysis with positron annihilation lifetime spectroscopy (PALS). The reduction in size of a free-volume hole attributable to deacetylation was clearly observed near the surface of the EVA encapsulant for a module aged in a damp heat (DH) test following UV irradiation (hereafter referred to as the post-UV DH test). Deacetylation in the post-UV DH test was considerable compared with that in a normal DH test. The depth profile of the size of a free-volume hole in a sample exposed outdoors was revealed to be very similar to that obtained in the post-UV DH test. This strongly implies that deacetylation was promoted near the interface between EVA and other components in the accelerated degradation test as well as by outdoor exposure.

    DOI: 10.7567/JJAP.57.082301

    Scopus

    researchmap

  • Potential-induced degradation of photovoltaic modules composed of interdigitated back contact solar cells observed in an actual photovoltaic system

    Tetsuyuki Ishii, Ritsuko Sato, Sungwoo Choi, Yasuo Chiba, Atsushi Masuda

    Proceedings of the 33rd European Photovoltaic Solar Energy Conference and Exhibition   1414 - 1417   2017.11

     More details

    Language:English   Publishing type:Research paper, summary (international conference)  

    DOI: 10.4229/EUPVSEC20172017-5CO.6.3

    researchmap

  • Degradation of encapsulants for photovoltaic modules made of ethylene vinyl acetate studied by positron annihilation lifetime spectroscopy

    Hideaki Hagihara, Masao Kunioka, Hiroyuki Suda, Yukiko Hara, Atsushi Masuda

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 ( 10 )   2016.10

     More details

    Language:English   Publisher:IOP PUBLISHING LTD  

    The structure of ethylene vinyl acetate (EVA) encapsulants of crystalline-Si photovoltaic modules after the damp heat (DH) test was evaluated by positron annihilation lifetime spectroscopy (PALS). A reduction in free-volume hole size, which indicates the progress of deacetylation, was observed after the DH test. The difference in lifetime (Delta r) between the initial and DH-tested samples clearly increased after the DH test for 3000 h. The increase in Delta r was correlated with the acetic acid concentration in the EVA estimated by ion chromatography. The depth profile analysis by slow positron beam PALS revealed that Delta r in the near-surface region of the Si-cell side was significantly larger than that of the cover-glass side. This result indicates that deacetylation near the Si cell/EVA interface is accelerated. (C) 2016 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.55.102302

    Web of Science

    Scopus

    researchmap

  • Annual degradation rates of bulk crystalline silicon PV modules estimated from indoor and outdoor measurements

    Tetsuyuki Ishii, Atsushi Masuda, Yoshihiro Hishikawa

    Proceedings of the 31st European Photovoltaic Solar Energy Conference and Exhibition   2571 - 2574   2015.11

     More details

    Language:English   Publishing type:Article, review, commentary, editorial, etc. (international conference proceedings)  

    DOI: 10.4229/EUPVSEC20152015-5CV.2.38

    researchmap

  • CuIn<sub>1-x</sub>Ga<sub>x</sub>Se<sub>2</sub>太陽電池モジュールにおけるPID現象

    山口世力, 山口世力, 原浩二郎, 小牧弘典, 上川由紀子, 柴田肇, 仁木栄, 川上雄士, 増田淳

    応用物理学会春季学術講演会講演予稿集(CD-ROM)   61st   2014

  • CS-3-2 Recent Situation and Future Prospects of Photovoltaic Markets and Technologies

    Masuda Atsushi

    Proceedings of the Society Conference of IEICE   2009 ( 2 )   "S - 3"-"S-4"   2009.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    CiNii Article

    CiNii Books

    researchmap

  • Flexible thin-film solar cells

    MASUDA Atsushi

    77 ( 10 )   1213 - 1219   2008.10

     More details

  • Novel type Solar Cells

    MASUDA Atsushi

    Ceramics Japan   43 ( 1 )   62 - 67   2008.1

     More details

  • Mass-spectrometric studies of catalytic chemical vapor deposition processes of organic silicon compounds containing nitrogen

    T Morimoto, SG Ansari, K Yoneyama, T Nakajima, A Masuda, H Matsumura, M Nakamura, H Umemoto

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   45 ( 2A )   961 - 966   2006.2

     More details

    Language:English   Publisher:INST PURE APPLIED PHYSICS  

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)(3)SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

    DOI: 10.1143/JJAP.45.961

    Web of Science

    CiNii Article

    researchmap

  • Low-temperature deposition of silicon nitride films by a cat-CVD technique - Gas-phase diagnoses and evaluation of film properties -

    Hironobu Umemoto, Atsushi Masuda, Hideki Matsumura, Toshiharu Minamikawa, Akira Hhya, Masahiro Takano, Yasuto Yonezawa, Toshikazu Niki, Susumu Muroi, Shigehira Minami

    Zairyo/Journal of the Society of Materials Science, Japan   55 ( 2 )   142 - 147   2006.2

     More details

    Language:Japanese  

    It is possible to prepare high-density, moisture-resistive and optically transparent SiN x films by a catalytic chemical vapor deposition (Cat-CVD, often called Hot-Wire CVD) technique at low substrate temperatures such as 353K. The key point is the addition of a large amount of H 2 to the SiH 4NH 3 system. Gas-phase diagnoses show that, in Cat-CVD processes, the H-atom density in the gas phase is typically more than one order of magnitude higher than that in plasma processes
    these H atoms abstract atomic hydrogen on the growing surface and also contribute to the local heating of the substrate surface due to their recombination reactions. In addition, H atoms re-activate the catalyzer surfaces poisoned by SiH 4 to increase the decomposition efficiency of NH 3. Pressure cooker tests combined with FTIR measurements show that SiN x films thus prepared are highly moisture-resistive even when the substrate temperature is as low as 293K. The water vapor transmission rate of plastic substrates covered with thin SiN xfilms is less than the detection limits of a MOCON or a cup method. The transmission rate was decreased by more than two orders of magnitude by the SiN x coating. The stress of the SiN x films was typically less than 100 MPa and can be controlled from compressive to tensile by choosing the appropriate deposition conditions. It is concluded that SiN x films prepared by Cat-CVD from SiH 4, NH 3 and an excess amount of H 2 can be used as passivation films for organic materials, including organic light-emitting diodes.

    DOI: 10.2472/jsms.55.142

    Scopus

    CiNii Article

    researchmap

  • Development of Catalytic Chemical Vapor Deposition Systems for Flexible Organic Light-Emitting Diode Displays

    部家彰, 高野昌宏, 米沢保人, 南川俊治, 仁木敏一, 室井進, 南茂平, 大薗哲郎, 増田淳, 梅本宏信, 松村英樹

    石川県工業試験場研究報告   ( 54 )   17 - 22   2005.12

     More details

    Language:Japanese  

    J-GLOBAL

    researchmap

  • Technique for the production, preservation, and transportation of H atoms in metal chambers for processings

    SG Ansari, H Umemoto, T Morimoto, K Yoneyama, A Masuda, H Matsumura, M Ikemoto, K Ishibashi

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   23 ( 6 )   1728 - 1731   2005.11

     More details

    Language:English   Publisher:A V S AMER INST PHYSICS  

    Three different coating materials for lowering the H-atom recombination probability on stainless-steel chamber walls were investigated and the results were compared. SiO2 films prepared by natural oxidation of perhydropolysilazane, polytetrafluoroethene (Teflon) films, and H3PO4 coated SiO2 films were used as coating materials. Among them, the SiO2 film was found to be the most useful for this purpose. The densities of H atoms produced by catalytic decomposition of H-2 on heated tungsten surfaces were measured by a vacuum-ultraviolet laser absorption technique under various conditions. The H-atom density increased by one order of magnitude with SiO2 and Teflon coating, the former of which is easier to use and more economical. No further increase in H-atom density was observed when the chamber was coated with H3PO4. SiO2 films prepared from perhydropolysilazane were not etched by H atoms. Quadrupole mass spectrometric analysis showed that the production of either SiH4 or H2O is extremely minor. No surface etching was confirmed by x-ray photoelectron spectroscopy (XPS), either. Scanning electron microscopic (SEM) observations showed that the SiO2 films are not porous even after H-atom exposure. It is also suggested that cooling of the chamber walls is important to preserve the H-atom density. (c) 2005 American Vacuum Society.

    DOI: 10.1116/1.2101809

    Web of Science

    CiNii Article

    researchmap

  • CS-5-7 Properties and device applications of SiNx films prepared by Cat-CVD

    Masuda Atsushi, Umemoto Hironobu, Matsumura Hideki

    Proceedings of the Society Conference of IEICE   2005 ( 2 )   "S - 13"-"S-14"   2005.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    CiNii Article

    CiNii Books

    researchmap

  • ELと光学技術 Cat‐CVD法による水蒸気バリア薄膜の低温形成

    南川俊治, 部家彰, 高野昌宏, 米沢保人, 仁木敏一, 南茂平, 増田淳, 梅本宏信, 松村英樹

    光技術コンタクト   43 ( 6 )   328 - 333   2005.6

     More details

    Language:Japanese  

    J-GLOBAL

    researchmap

  • Preparation of low-stress SiNx films by catalytic chemical vapor deposition at low temperatures

    M Takano, T Niki, A Heya, T Osono, Y Yonezawa, T Minamikawa, S Muroi, S Minami, A Masuda, H Umemoto, H Matsumura

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   44 ( 6A )   4098 - 4102   2005.6

     More details

    Language:English   Publisher:JAPAN SOC APPLIED PHYSICS  

    Silicon nitride (SiNx) films were prepared by catalytic chemical vapor deposition (Cat-CVD) at low substrate temperatures below 130 degrees C. The stress in the films was low, typically lower than 100 MPa, and could be varied from compressive to tensile by changing the deposition conditions used. The cause of the changes in stress was investigated from the relationship between film properties and deposition conditions. Stress was more compressive when the SiH4 flow rate was high, while it was more tensile when the substrate temperature and gas pressure were high. This is attributed to the shrinkage of the film accompanied by gas desorption from the growing surface. The gas desorption is enhanced by the elevation of substrate temperature and the attack of atomic hydrogen. The gas desorption also leads to low hydride densities and high mass densities. The SiNx films prepared by Cat-CVD can be used for passivating organic materials.

    DOI: 10.1143/JJAP.44.4098

    Web of Science

    CiNii Article

    researchmap

  • Improvement of deposition rate by sandblasting of tungsten wire in catalytic chemical vapor deposition

    A Heya, T Niki, M Takano, Y Doguchi, Y Yonezawa, T Minamikawa, S Muroi, S Minami, A Izumi, A Masuda, H Umemoto, H Matsumura

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   44 ( 4A )   1943 - 1944   2005.4

     More details

    Language:English   Publisher:JAPAN SOC APPLIED PHYSICS  

    The effects of sandblasting of tungsten wires were investigated to increase the deposition rate in catalytic chemical vapor deposition (Cat-CVD). The tungsten wires were sandblasted using silicon carbide powder. Both the surface area and surface roughness increased by this treatment. The deposition rate increased with the surface roughness when the input electric power was kept constant.

    DOI: 10.1143/JJAP.44.1943

    Web of Science

    CiNii Article

    researchmap

  • Moisture-resistive properties of SiNx films prepared by catalytic chemical vapor deposition below 100 degrees C for flexible organic light-emitting diode displays

    A Heya, T Niki, M Takano, Y Yonezawa, T Minamikawa, S Muroi, S Minami, T Ikari, A Izumi, A Masuda, H Umemoto, H Matsumura

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   44 ( 4A )   1923 - 1927   2005.4

     More details

    Language:English   Publisher:JAPAN SOC APPLIED PHYSICS  

    Silicon nitride (SiNx) films were deposited on Si and polycarbonate (PC) substrates at temperatures below 100 degrees C by a catalytic chemical vapor deposition (Cat-CVD) method. By adding H-2 to source gases, SiH4 and NH3, it was possible to prevent the deterioration of film qualities in low-temperature deposition processes. H atoms produced from H-2 are effective for increasing the film densities and improving passivation properties. The water vapor transmission rate of SiNx films on PC substrates deposited at 80 degrees C was lower than 0.3 g/m(2)day; the detection limit for a cup method. It is concluded that the Cat-CVD method with H-2 dilution is a promising technique for preparing highly moisture-resistive SiNx films at low temperatures.

    DOI: 10.1143/JJAP.44.1923

    Web of Science

    CiNii Article

    researchmap

  • Cat-CVD法の包装用ガスバリアフィルム製造工程への適用 (特集 マーケット創出の成否を決する次世代パッケージング) -- (未来のマーケットを支える技術と商品開発)

    増田 淳, 梅本 宏信, 松村 英樹

    パックピア   49 ( 1 )   34 - 39   2005.1

     More details

    Language:Japanese   Publisher:日報アイ・ビー  

    CiNii Article

    CiNii Books

    researchmap

  • 有機ELディスプレイ用水蒸気バリア膜の形成 -低温触媒CVD装置の開発-

    電子情報通信学会技術研究報告   105 ( 434 )   7 - 12   2005

     More details

  • Enlargement of ELA poly-Si film : Relationship between Crystal Growth and Hydrogen

    KAWAMOTO N., MASUDA A., MATSUO N., SERI Y., MATSUMURA H., HAMADA H., MIYOSHI T.

    Technical report of IEICE. SDM   104 ( 510 )   47 - 51   2004.12

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    The role of the hydrogen which is introduced in the melt-Si during the excimer laser annealing (ELA) is examined from a viewpoint of grain enlargement. We successfully prepared the a-Si/SiN/glass structure by utilizing the catalytic chemical vapor deposition (Cat-CVD) method for SiN film, where hydrogen concentration of SiN film is controlled. The grain size increases as decreasing thehydrogen concentration, and it partially exceeds 2 μm by fixing the hydrogen concentration in the SiN film to 2 3 at % The relationshipbetween the defects of the grain boundary and hydrogen is also referred.

    CiNii Article

    CiNii Books

    researchmap

  • Resist-removal technique without plasma using hydrogen atoms generated on heated catalyzer

    MASUDA Atsushi, HASHIMOTO Kouhei, TAKAO Kazuhisa, IHSIBASHI Tomoatsu, MATSUMURA Hideki

    IEICE technical report. Component parts and materials   104 ( 425 )   39 - 43   2004.11

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Resist-removal technique without plasma using high-density hydrogen atoms generated on heated catalyzer is introduced. Both no plasma damage and no oxidation for the substrate under the resist are advantages of this process in comparison with the conventional oxygen plasma ashing. However, slow removal rate had been a problem to be solved. In this study, hydrogen flow rate, hydrogen pressure, catalyzer temperature, wafer-stage temperature and distance between catalyzer and wafer were widely changed and resist-removal rate faster than 1 μm/min was realized in the optimized condition. This removal rate should be applicable level in industry. Concentration of tungsten on wafer, possibly evaporated from the catalyzer, was estimated to be the first half of 10^<10> cm^<-2>, which brings about no problem for industrial application.

    CiNii Article

    CiNii Books

    researchmap

  • Application of SiN_x films prepared by Cat-CVD method to GaAs-based transistors

    MASUDA Atsushi, TOTSUKA Masahiro, OKU Tomoki, HATTORI Ryo, MATSUMURA Hideki

    IEICE technical report. Electron devices   104 ( 111 )   11 - 16   2004.6

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    SiN_x films prepared by catalytic chemical vapor deposition (Cat-CVD) are dense with low hydrogen content in films even at substrate temperatures around 300℃, and also have low stress. Therefore SiN_x films prepared by Cat-CVD are suitable to passivation films for semiconductor devices and various ceramic and metallic parts. SiN_x films by Cat-CVD method using no plasma excitation are especially suitable to passivation films for compound semiconductor devices since compound semiconductors are easily damaged by charged particles in plasma. In this paper various properties for SiN_x films by Cat-CVD are presented. Performances of both self-aligned gate field-effect transistors (SAGFETs) and high electron mobility transistors (HEMTs) with SiN_x passivation films by Cat-CVD or plasma-enhanced CVD are comparatively studied.

    CiNii Article

    CiNii Books

    researchmap

  • 研究室へようこそ 北陸先端科学技術大学院大学(JAIST)・材料科学研究科 松村研究室 Cat-CVD法のフラットパネルディスプレイ製造技術への新展開

    増田 淳, 松村 英樹

    ディスプレイ   10 ( 4 )   71 - 74   2004.4

     More details

    Language:Japanese   Publisher:テクノタイムズ社  

    CiNii Article

    CiNii Books

    researchmap

  • Present Status and Future Prospects of Cat-CVD Technique : Gas-Phase Diagnostics and Device Applications

    MASUDA Atsushi, UMEMOTO Hironobu, MATSUMURA Hideki

    The Transactions of the Institute of Electronics, Information and Communication Engineers C   87 ( 2 )   203 - 215   2004.2

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    CiNii Article

    CiNii Books

    researchmap

  • Fabrication of Amorphous Silicon Solar Cells by Catalytic Chemical Vapor Deposition

    MASUDA Atsushi, NISHIMURA Masaya, KATOUNO Kouichi, SUGITA Ken, IMAMORI Kensaku, ITOH Masaya, MATSUMURA Hideki

    IEICE technical report. Component parts and materials   103 ( 412 )   1 - 6   2003.11

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Performances for solar cells using hydrogenated amorphous silicon (a-Si:H) films prepared by catalytic chemical vapor deposition (Cat-CVD) are introduced together with fundamental techniques for improving solar-cell efficiencies. Low hydrogen (H) content in a-Si:H films, which is possible origin of stability for light soaking, is one of the characteristic features of a-Si:H films by Cat-CVD. A large amount of H atoms in gas phase are also remarkable properties for Cat-CVD. Although it is worried that transparent conducting oxide electrode is reduced by a large amount of H atoms, it was found that zinc oxide (ZnO) coating is effective for suppressing the reduction of tin oxide (SnO_2). Feasibility of microcrystalline silicon (μc-Si:H) and hydrogenated amorphous silicon-carbon(a-Si_<1-x>C_x:H) films prepared by Cat-CVD for p-type window-layer materials was studied. Effects of the buffer layer at p/i interface on improving the solar-cell performances are also demonstrated.

    CiNii Article

    CiNii Books

    researchmap

  • 低温触媒CVD装置の開発 (特集/新規事業創出と大学発ベンチャー)

    増田 淳, 松村 英樹, 南川 俊治

    化学工業   54 ( 8 )   631 - 635   2003.8

     More details

    Language:Japanese   Publisher:化学工業社  

    CiNii Article

    CiNii Books

    researchmap

  • Behavior of Hydrogens in the poly-Si Film Prepaired by ELA Method : Relationship Between the Concentration of the Hydrogen Molecule in the SiN Film and Crystal growth

    KAWAMOTO N, MASUDA A, HASEGAWA I, ANWAR BIN ABD, AZIZ Fakhrul, YOGORO Y, MATSUO N, YAMANO K, MATSUMURA H, HAMADA H, SHIBATA K

    Technical report of IEICE. OME   103 ( 8 )   31 - 34   2003.4

     More details

    Language:Japanese   Publisher:一般社団法人電子情報通信学会  

    In this study, we clarify the role of hydrogen in the poly-Si film on the Cat-CVD SiN film for its crystal growth by ELA. H_2 concentrations in the SiN film are 2.3, 4.2, 8.2 at%, respectively. For 500mJ/cm^2 and 8shots, Raman peak FWHM drastically increases and some of the poly-Si films disappear by H_2 burst during the ELA for 8.2at%. Spin density of 8.2at% is larger than that of 2.3at%. These results indicate that the hydrogens incorporated in the Si from SiN film during the ELA leave behind the crystal defects in the poly-Si film when they burst into vacuum. For 500mJ/cm^2, although the Δω decreases drastically with increasing the shot number for 2.3 and 4.2at%, it becomes small slowly with the shot number for 8.2at%. The grain size of 2.3at% is larger than that of 4.2at%. We discuss these results by using SPC (solid phase crystallization) model considering the incorporation of the hydrogens and the substrate thermal conductivity. The usefulness of Cat-CVD method in the ELA poly-Si field is also referred.

    CiNii Article

    CiNii Books

    researchmap

  • Characteristics of Laser Annealing for Amorphous Si Films Prepared by Catalytic Chemical Vapor Deposition and Application to Fabrication of Polycrystalline Si Thin-Film Transistors

    MASUDA Atsushi, YOGORO Yusuke, MATSUMURA Hideki, MIYASHITA Kazuyuki, SHIMODA Tatsuya

    Technical report of IEICE. OME   103 ( 8 )   25 - 30   2003.4

     More details

    Language:Japanese   Publisher:一般社団法人電子情報通信学会  

    Crystallinity of excimer-laser annealed polycrystalline Si (poly-Si) films using amorphous Si (a-Si:H) precursor films prepared by catalytic chemical vapor deposition (Cat-CVD) is presented. No dehydrogenation process is required for Cat-CVD a-Si:H films because of low H content about 1 at. % even at low-temperature deposition below 300 ℃. It was confirmed that the crystallinity is improved using a-Si:H precursor films prepared by Cat-CVD in comparison with that using a-Si:H precursor films prepared by plasma-enhanced CVD. Cat-CVD also has the advantages of high-rate and large-area deposition of a-Si:H precursor films. Top-gate type thin-film transistors fabricated using Cat-CVD a-Si:H precursor films show the mobility reaching 230 cm^2/Vs being comparable to those using low-pressure CVD ones.

    CiNii Article

    CiNii Books

    researchmap

  • Recent Development of Fabrication Techniques for Thin-Film Transistors by Catalytic Chemical Vapor Deposition

    MASUDA Atsushi, MATSUMURA Hideki

    IEICE technical report. Component parts and materials   102 ( 434 )   71 - 76   2002.11

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recent development of fabrication techniques for thin-film transistors (TFTs) used in flat-panel displays by catalytic chemical vapor deposition (Cat-CVD) is introduced. TFTs using amorphous Si films prepared by Cat-CVD show stable characteristics under bias stress. TFTs using polycrystalline Si films prepared directly by Cat-CVD at low temperatures show the mobility of approximately 50 cm^2/Vs. Amorphous Si films are also useful as precursor films for excimer-laser annealing. The Cat-CVD method appears feasible for mass-production because of high efficiency of gas use, high deposition rate and large-area deposition beyond 1-m size. Development of Cat-CVD apparatus for mass-production is also in progress. It is expected that the Cat-CVD method will be employed in the mass production of amorphous Si TFTs, directly deposited polycrystalline Si TFTs and polycrystalline Si TFTs fabricated by excimer-laser annealing.

    CiNii Article

    CiNii Books

    researchmap

  • In situ chamber cleaning using atomic H in catalytic-CVD apparatus for mass production of a-Si : H solar cells

    A Masuda, Y Ishibashi, K Uchida, K Kamesaki, A Izumi, H Matsumura

    SOLAR ENERGY MATERIALS AND SOLAR CELLS   74 ( 1-4 )   373 - 377   2002.10

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    Effects of the chamber cleaning on properties of hydrogenated amorphous Si films prepared by catalytic chemical vapor deposition are shown. It is also revealed that the chamber is easily cleaned by atomic H generated on the heated catalyzer from H-2 gas molecules. In situ chamber cleaning using only H-2 gas is applicable to in-line apparatuses for mass production of solar cells, which brings about the reduction of the production cost. (C) 2002 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0927-0248(02)00130-7

    Web of Science

    researchmap

  • What is the difference between catalytic CVD and plasma-enhanced CVD? - Gas-phase kinetics and film properties

    A Masuda, A Izumi, H Umemoto, H Matsumura

    VACUUM   66 ( 3-4 )   293 - 297   2002.8

     More details

    Language:English   Publisher:PERGAMON-ELSEVIER SCIENCE LTD  

    Differences between catalytic chemical vapor deposition (Cat-CVD), often called hot-wire chemical vapor deposition, and plasma-enhanced chemical vapor deposition (PECVD) are discussed from the viewpoints of gas-phase kinetics and film properties. Remarkable superiority of Cat-CVD over PECVD is high deposition rate due to high efficiency of gas use. One metre size large-area deposition is also realized using a newly developed gas showerhead equipped with the catalyzer. (C) 2002 Elsevier Science Ltd. All rights reserved.

    DOI: 10.1016/S0042-207X(02)00133-1

    Web of Science

    CiNii Article

    researchmap

  • Preparation of boron-carbon-nitrogen thin films by magnetron sputtering

    H Yokomichi, T Funakawa, A Masuda

    VACUUM   66 ( 3-4 )   245 - 249   2002.8

     More details

    Language:English   Publisher:PERGAMON-ELSEVIER SCIENCE LTD  

    Boron-carbon-nitrogen thin films were prepared by magnetron sputtering using a composite target consisting of boron-nitride pellets and a graphite target in N-2 or Ar gas atmosphere. Stability under air exposure was improved with the incorporation of boron atoms into the carbon nitride network. The films were characterized by X-ray photoelectron spectroscopy, infrared absorption spectroscopy and electron spin resonance spectroscopy. (C) 2002 Elsevier Science Ltd. All rights reserved.

    DOI: 10.1016/S0042-207X(02)00149-5

    Web of Science

    CiNii Article

    researchmap

  • Influence of atomic hydrogen on transparent conducting oxides during hydrogenated amorphous and microcrystalline Si preparation by catalytic chemical vapor deposition

    A Masuda, K Imamori, H Matsumura

    THIN SOLID FILMS   411 ( 1 )   166 - 170   2002.5

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA  

    Resistance for reduction of transparent conducting oxides (TCO) by atomic H generated on a heated catalyzer is studied for hydrogenated amorphous Si (a-Si:H) and hydrogenated microcrystalline Si ( L c-Si:H) solar cells prepared by catalytic chemical vapor deposition (Cat-CVD) method. TCO films such as SnO2 (Asahi-U) and ZnO-coated SnO2 were exposed to atomic H at various substrate temperatures and for various treatment times. It was found that a decrease in the transmittance due to reduction by atomic H for ZnO-coated SnO2 was scarcely observed although that for SnO2 was observed strongly depending on the substrate temperature. The reason for a decrease in the transmittance is the appearance of metallic Sn or SnO on the surface. It was also found from the deposition of a-Si:H films on SnO2 that little decrease in the transmittance occurs after deposition of a-Si:H films with a thickness of 10 nm, showing that little damage to SnO, occurs by penetration of atomic H through the 10-nm-thick a-Si:H layer. It was revealed that a-Si:H layers played a role of passivation for TCO because the TCO was immediately covered with a-Si:H films with a deposition rate faster than 1 nm/s by Cat-CVD. However, ZnO coating for SnO2 was very effective for the suppression of the reduction of TCO during mu c-Si:H deposition using highly-H-2 diluted SiH4. (C) 2001 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0040-6090(02)00207-9

    Web of Science

    researchmap

  • Photoinduced volume expansion and contraction in a-Si : H films

    N Yoshida, Y Sobajima, H Kamiguchi, T Iida, T Hatano, H Mori, Y Nakae, M Itoh, A Masuda, H Matsumura, S Nonomura

    JOURNAL OF NON-CRYSTALLINE SOLIDS   299   516 - 520   2002.4

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    Photoinduced volume expansion and contraction in hydrogenated amorphous silicon (a-Si:H) films have been studied, Photoinduced volume contraction seems to occur in a-Si:H films having hydrogen contents less than similar to5 at.%. The wavelength dependence of the photoinduced volume expansion indicates that one of driving forces of this phenomenon may be relaxation energy of photoexcited carriers. The reciprocity relation between light intensity and illumination time does not hold both in photoinduced volume expansion and photodegradation. The mechanism of the photoinduced volume changes is also discussed. (C) 2002 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0022-3093(02)00934-1

    Web of Science

    researchmap

  • Effects of atomic hydrogen in gas phase on a-Si : H and poly-Si growth by catalytic CVD

    H Umemoto, Y Nozaki, M Kitazoe, K Horii, K Ohara, D Morita, K Uchida, Y Ishibashi, M Komoda, K Kamesaki, A Izumi, A Masuda, H Matsumura

    JOURNAL OF NON-CRYSTALLINE SOLIDS   299   9 - 13   2002.4

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    The effects of H atoms on the hydrogenated amorphous silicon and poly-Si film-growth in catalytic CVD processes were examined. The following results were obtained: (1) The H atom concentration in the gas phase can be as high as 10(14) cm(-3). This density is more than one order of magnitude higher than those in conventional plasma-enhanced CVD processes. (2) When SiH4 is introduced, the H atom density decreases sharply. This decrease is mainly caused by the loss processes on chamber walls, but gas phase reactions with SiH4 to produce SiH3 are also important. SiH3, thus produced should be one of the dominant deposition precursors for Si film-growth. (3) Atomic H etches not only amorphous but also crystalline Si, including single-crystalline one. (4) The main etching product is SiH4. but Si2H6 is also produced. Si2H6 derived from previously deposited Si compounds deteriorates the film properties. However, this deterioration can be avoided by regular chamber cleaning using H atoms. (C) 2002 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0022-3093(02)00928-6

    Web of Science

    researchmap

  • Low-Temperature Fabrication and Characteristics of Poly-Si TFTs by Cat-CVD

    Matsumura Hideki, Masuda Atsushi, Izumi Akira

    Proceedings of the IEICE General Conference   2002 ( 2 )   171 - 172   2002.3

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    CiNii Article

    CiNii Books

    researchmap

  • Low-resistivity phosphorus-doped polycrystalline silicon thin films formed by catalytic chemical vapor deposition and successive rapid thermal annealing

    R Morimoto, A Izumi, A Masuda, H Matsumura

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS   41 ( 2A )   501 - 506   2002.2

     More details

    Language:English   Publisher:INST PURE APPLIED PHYSICS  

    Low-resistivity phosphorus (P)-doped polycrystalline silicon (poly-Si) thin films were prepared from amorphous silicon (a-Si) films deposited by catalytic chemical vapor deposition (Cat-CVD) and successive rapid thermal annealing (RTA). RTA was carried out at 1000 C for crystallization of heavily P-doped a-Si prepared by Cat-CVD. The films are suitable for the solid-phase crystallization (SPC) process because of their low hydrogen (H) content. It is revealed that both the crystallization and low resistivity of 0.001 Q-cm are realized by RTA at 1000degreesC for only 5 s. Even after high-temperature RTA processes. per-feet surface-flatness is maintained and neither peeling nor bubbling due to H atoms in the films is observed.

    DOI: 10.1143/JJAP.41.501

    Web of Science

    CiNii Article

    researchmap

  • Direct detection of H atoms in the catalytic chemical vapor deposition of the SiH4/H-2 system

    H Umemoto, K Ohara, D Morita, Y Nozaki, A Masuda, H Matsumura

    JOURNAL OF APPLIED PHYSICS   91 ( 3 )   1650 - 1656   2002.2

     More details

    Language:English   Publisher:AMER INST PHYSICS  

    The absolute densities of H atoms produced in catalytic chemical vapor deposition (Cat-CVD or hot-wire CVD) processes were determined by employing two-photon laser-induced fluorescence and vacuum ultraviolet absorption techniques. The H-atom density in the gas phase increases exponentially with increases in the catalyzer temperature in the presence of pure H-2. When the catalyzer temperature was 2200 K, the absolute density in the presence of 5.6 Pa of H-2 (150 sccm in flow rate) was as high as 1.5x10(14) cm(-3) at a point 10 cm from the catalyzer. This density is one or two orders of magnitude higher than those observed in typical plasma-enhanced chemical vapor-deposition processes. The H-atom density decreases sharply with the addition of SiH4. When 0.1 Pa of SiH4 was added, the steady-state density decreased to 7x10(12) cm(-3). This sharp decrease can primarily be ascribed to the loss processes on chamber walls. (C) 2002 American Institute of Physics.

    DOI: 10.1063/1.1428800

    Web of Science

    CiNii Article

    researchmap

  • Cat-CVD法による低温poly-Si TFT製造技術

    月刊ディスプレイ   8 ( 7 )   10 - 15   2002

     More details

  • Cat-CVD technology as a new tool for fabrication of large area display

    Proceedings of 2nd International Display Manufacturing Conference   143-146   2002

     More details

  • Recent progress of Cat-CVD research in Japan -Bridging between the first and second Cat-CVD conferences-

    Extended Abstract of 2nd International Conference on Cat-CVD (Hot-Wire CVD) Process   17-22   2002

     More details

  • Cat-CVD法による微結晶シリコン膜の作製とデバイス応用

    固体物理   37 ( 12 )   1003 - 1009   2002

     More details

  • Deposition chemistry in the Cat-CVD processes of the SiH<sub>4</sub>/NH<sub>3</sub> system

    Extended Abstract of 2nd International Conference on Cat-CVD (Hot-Wire CVD) Process   35-38   2002

     More details

  • Development of Cat-CVD apparatus for 1-m-size large-area deposition

    Extended Abstract of 2nd International Conference on Cat-CVD (Hot-Wire CVD) Process   75-80   2002

     More details

  • Key factors to improve efficiency of Cat-CVD a-Si solar cells

    Extended Abstract of 2nd International Conference on Cat-CVD (Hot-Wire CVD) Process   223-226   2002

     More details

  • Coverage properties of silicon nitride film prepared by Cat-CVD method

    Extended Abstract of 2nd International Conference on Cat-CVD (Hot-Wire CVD) Process   235-238   2002

     More details

  • Fabrication of a-Si<sub>1-x</sub>C<sub>x</sub>:H thin films for solar cells by Cat-CVD method using carbon catalyzer

    Extended Abstract of 2nd International Conference on Cat-CVD (Hot-Wire CVD) Process   239-242   2002

     More details

  • Crystallization by excimer laser annealing for a-Si:H films with low hydrogen content prepared by Cat-CVD

    Extended Abstract of 2nd International Conference on Cat-CVD (Hot-Wire CVD) Process   355-358   2002

     More details

  • Development of large-area uniform deposition technique on 1-m-size substrate by catalytic chemical vapor deposition

    Minoru Karasawa, Masahiro Sakai, Keiji Ishibashi, Masahiko Tanaka, Atsushi Masuda, Hideki Matsumura

    Shinku/Journal of the Vacuum Society of Japan   45 ( 3 )   123 - 126   2002

     More details

    Language:Japanese   Publisher:Vacuum Society of Japan  

    Large-area deposition with 1-m size is demonstrated by catalytic chemical vapor deposition (Cat-CVD) apparatus equipped with newly developed showerhead catalyzers. The arrangement of catalyzer wires is determined by simulation based on the experimental results that decomposed species on catalyzers are transported by isotropic thermal diffusion without an influence of the gas flow. Uniformity of ± 7.5% and deposition rate of 5.3 Å/s for amorphous silicon films are obtained using silane flow rate of only 100 sccm on the glass substrate with a size of 960 mm × 400 mm. These results suggest that the Cat-CVD is one of the most promising methods for fabrication of large-area devices such as thin-film transistors and solar cells.

    DOI: 10.3131/jvsj.45.123

    Scopus

    CiNii Article

    researchmap

  • Application of catalytic chemical vapor deposition to fabrication of next-generation large-area displays

    MASUDA Atsushi, MATSUMURA Hideki

    OYOBUTURI   71 ( 7 )   833 - 838   2002

     More details

    Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/oubutsu1932.71.833

    CiNii Article

    researchmap

  • Development of chamber cleaning reducing both cost and environmental pollution by using catalytic cracking reaction of NF_3

    NISHIMURA Satoru, MASUDA Atsushi, IZUMI Akira, MATSUMURA Hideki

    IEICE technical report. Component parts and materials   101 ( 395 )   61 - 65   2001.10

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Etch rates of 3500 nm/min for single-crystalline silicon wafer, 740 nm/min for silicon nitride films on silicon wafer and 50 nm/min for fused quartz are obtained by using NF_3-decomposed species generated by catalytic cracking reaction on a heated tungsten wire at 2400℃. No fluorine contamination on the amorphous silicon layer with a thickness of 120 nm, deposited after the chamber cleanig by using the above NF_3-decomposed species, is detected by X-ray photoelectron spectroscopy. These results indicate that both deposition and in situ chamber cleaning are carried out in the catalytic chemical vapor deposition(Cat-CVD) apparatus, whitch is an important factor for the industrial application.

    CiNii Article

    CiNii Books

    researchmap

  • Influence of a-Si:H deposition by catalytic CVD on transparent conducting oxides

    Kensaku Imamori, Atsushi Masuda, Hideki Matsumura

    Thin Solid Films   395 ( 1-2 )   147 - 151   2001.9

     More details

    Language:English  

    We investigated the tolerance to reduction of transparent conducting oxide (TCO) by atomic hydrogen (H), generated on a heated catalyzer, for hydrogenated amorphous silicon (a-Si:H) solar cells by the catalytic chemical vapor deposition (Cat-CVD) method. TCO films such as SnO2 (Asahi-U) and SnO2 coated with ZnO were exposed to atomic H at various substrate holder temperatures (Tsh). It was found that a decrease in the transmittance due to reduction by atomic H for SnO2 coated with ZnO is scarcely observed, although that for SnO2 is observed strongly depending on Tsh. The reason for a decrease in the transmittance is the appearance of metallic tin (Sn) on the surface. It was also found, from the deposition of a-Si:H on SnO2, that no decrease in the transmittance occurs after deposition of a-Si:H with 100 Å, showing that no damage to SnO2 occurs by penetration of atomic H through the 100-Å thick a-Si:H layer. It is concluded that a-Si:H layers play a role of passivator for TCO, because the TCO is immediately covered with a-Si:H, with a deposition rate faster than 10 Å/s, by Cat-CVD. © 2001 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0040-6090(01)01241-X

    Scopus

    researchmap

  • Photo-induced volume changes in a-Si : H films prepared by Cat-CVD method

    T Hatano, Y Nakae, H Mori, K Ohkado, N Yoshida, S Nonomura, M Itoh, A Masuda, H Matsumura

    THIN SOLID FILMS   395 ( 1-2 )   84 - 86   2001.9

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA  

    Photo-induced volume changes in a-Si:H films prepared by the catalytic chemical vapor deposition (Cat-CVD) method have been studied, The investigated a-Si:H films have an initial stress P-i of tensile from similar to - 150 to similar to -350 MPa. Some a-Si:H films with P-i &lt; -200 MPa exhibit the contraction of volume change, the li.-ht-induced contraction, due to the Ar ion laser light illumination of 200 mW/cm(2). The correlation between the photo-induced volume changes and the initial stress is discussed from the viewpoint of the initial structure of the film, comparing the data of the photo-induced volume change in a-Si:H films prepared by the conventional plasma enhanced chemical vapor deposition (PECVD) method. (C) 2001 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0040-6090(01)01214-7

    Web of Science

    researchmap

  • Proposal of catalytic chemical sputtering method and its application to prepare large grain size poly-Si

    K Kamesaki, A Masuda, A Izumi, H Matsumura

    THIN SOLID FILMS   395 ( 1-2 )   169 - 172   2001.9

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA  

    We formed large grain-size polycrystalline silicon (Si) on various substrates at low temperatures of approximately 400 degreesC by utilizing chemical transport of silicon-hydride species generated by the reaction between a solid Si target and hydrogen (H) atoms. H atoms are generated by the catalytic cracking reaction between H-2 and heated tungsten. We named the method 'catalytic chemical sputtering' from an analogy to the conventional physical sputtering. The Si films deposited on Si, thermal oxide and quartz substrates are polycrystalline, and there is no amorphous phase detected by Raman spectroscopy. The grain size exceeds 1 mum for films on thermal oxide substrates, with a thickness of approximately 1 mum at a substrate temperature of 400 degreesC. These grain sizes are larger than those deposited by other deposition methods at comparable low temperatures. (C) 2001 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0040-6090(01)01252-4

    Web of Science

    researchmap

  • Formation of silicon films for solar cells by the Cat-CVD method

    M Komoda, K Kamesaki, A Masuda, H Matsumura

    THIN SOLID FILMS   395 ( 1-2 )   198 - 201   2001.9

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA  

    We have investigated the formation of poly-Si photoactive films by the catalytic chemical vapor deposition (Cat-CVD) method. By optimum control of the substrate deposition temperature, poly-Si films with a crystalline fraction higher than 90% and dangling-bond density of 2.5 x 10(16) cm(-3) were obtained at a deposition rate greater than 10 Angstrom s(-1). It was also found that the lateral grain size of the poly-Si films increases from 0.1 to 0.5 mum by suppressing, chemical transport from the chamber wall. (C) 2001 Elsevier Science B.V, All rights reserved.

    DOI: 10.1016/S0040-6090(01)01257-3

    Web of Science

    researchmap

  • Fabrication of amorphous carbon nitride films by hot-wire chemical vapor deposition

    H Yokomichi, A Masuda, N Kishimoto

    THIN SOLID FILMS   395 ( 1-2 )   249 - 252   2001.9

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA  

    Amorphous carbon nitride (a-C1-xTx:H) films were fabricated by hot-wire chemical vapor deposition (HWCVD) using a mixture Of C2H2 and NH3 gases. The nitrogen concentration of a-C1-xNx:H films increased as the ratio of the NH3 flow to the total gas flow rate increased. Infrared absorption peaks due to vibration of the triple bond between carbon and nitrogen (C=N) were not observed for a-C1-xNx:H films prepared by HWCVD, suggesting that the amount of C=N bonding was reduced by this method. (C) 2001 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0040-6090(01)01264-0

    Web of Science

    researchmap

  • A Cat-CVD Si3N4 film study and its application to the ULSI process

    Y Uchiyama, A Masuda, H Matsumura

    THIN SOLID FILMS   395 ( 1-2 )   275 - 279   2001.9

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA  

    The feasibility of Si3N4 films prepared by a catalytic chemical vapor deposition (Cat-CVD) method is studied with the idea of considering its applications to the ULSI process. In this study, SW, films are prepared by Cat-CVD at various catalyzer temperatures, gas pressures and substrate temperatures. It was verified that the content of metal contamination is much lower than that permitted in a ULSI manufacture line. The maximum deposition rate reaches up to 132 nm/min, which is sufficiently higher than the deposition rate required for sidewall films near gate electrodes. The leakage current for SiNx films is lower than that for plasma enhanced CVD (PECVD) SiNx films. These features show that Cat-CVD SiNx films have the potential to be employed in the ULSI process. (C) 2001 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0040-6090(01)01282-2

    Web of Science

    researchmap

  • Low-k silicon nitride film for copper interconnects process prepared by catalytic chemical vapor deposition method at low temperature

    H Sato, A Izumi, A Masuda, H Matsumura

    THIN SOLID FILMS   395 ( 1-2 )   280 - 283   2001.9

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA  

    A low-temperature process for preparing low-k silicon nitride (SiN) has been successfully developed using catalytic chemical vapor deposition (Cat-CVD). A low-k SiN film, formed at 250 degreesC with a permittivity of 4, showed good Cu barrier properties. (C) 2001 Elsevier Science BN. All rights reserved.

    DOI: 10.1016/S0040-6090(01)01284-6

    Web of Science

    researchmap

  • Preparation of SiNx passivation films for PZT ferroelectric capacitors at low substrate temperatures by catalytic CVD

    T Minamikawa, Y Yonezawa, A Heya, Y Fujimori, T Nakamura, A Masuda, H Matsumura

    THIN SOLID FILMS   395 ( 1-2 )   284 - 287   2001.9

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA  

    The feasibility of SiNx films prepared by catalytic chemical vapor deposition (Cat-CVD) at low substrate temperatures was studied for passivation of ferroelectric non-volatile random access memories (FRAMs). First, the influence of exposure to active NH3 gas generated by the heated catalyzer on ferroelectric Pb(Zr0.52T0.48)O-3 (PZT) capacitors was examined. Second, SiNx films were prepared by Cat-CVD at low substrate temperature, at which the ferroelectricity of PZT is not degraded. The ferroelectric degradation of PZT capacitors due to exposure to active NH3 gas strongly depended on the sample temperature and the ambient. However, no degradation occurred when keeping the sample temperature below 200 degreesC at an ambient of 1.3 Pa by controlling the heat flow from the catalyzer. By adjusting the flow rate ratio of SiH4/NH3, the refractive index of SiNx films measured by ellipsometry was controlled to be 2.0 for various substrate temperatures. The dense SiNx films, which were resistive to oxidation in air exposure, were prepared at 200 degreesC at an ambient of 1.3 Pa. The ferroelectric PZT capacitors were not degraded during SiNx film deposition using the Cat-CVD method. The results appeared to demonstrate the feasibility of application of Cat-CVD films to passivation of ferroelectric devices. (C) 2001 Elsevier Science B.V. All lights reserved.

    DOI: 10.1016/S0040-6090(01)01285-8

    Web of Science

    researchmap

  • High performance amorphous-silicon thin film transistors prepared by catalytic chemical vapor deposition with high deposition rate

    M Sakai, T Tsutsumi, T Yoshioka, A Masuda, H Matsumura

    THIN SOLID FILMS   395 ( 1-2 )   330 - 334   2001.9

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA  

    We have developed high performance amorphous silicon thin film transistors by catalytic chemical vapor deposition (Cat-CVD) method. The amorphous silicon films deposited at a high rate (1.9 nm s(-1)) have shown a low spin density (1.6X10(16) cm(-3)), measured by electron spin resonance. Thin film transistors, with a field effect mobility of approximately 0.85 cm(2) V(-1)s(-1), were obtained with the gate SiNx and phosphorous-doped amorphous silicon layers also fabricated by Cat-CVD. (C) 2001 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0040-6090(01)01289-5

    Web of Science

    researchmap

  • Guiding principles for device-grade hydrogenated amorphous silicon films and design of catalytic chemical vapor deposition apparatus

    A Masuda, H Matsumura

    THIN SOLID FILMS   395 ( 1-2 )   112 - 115   2001.9

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA  

    The guiding principles for obtaining device-grade hydrogenated amorphous silicon (a-Si:H) films with high deposition rate on large-area substrates by catalytic chemical vapor deposition (Cat-CVD) are presented. The most important points are controlling both the heat flow and the atomic H. Other points of note are the suppression of silicide formation on the catalyzer and control of the gas flow. Solar cells and thin-film transistors using a-Si:H films thus obtained show excellent device performance. Large-area deposition, high deposition rate and high efficiency of gas use are also promising for the application of Cat-CVD a-Si:H films to these devices. (C) 2001 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0040-6090(01)01224-X

    Web of Science

    researchmap

  • High-stability hydrogenated amorphous silicon films for light-soaking prepared by catalytic CVD at high deposition rates

    M Itoh, Y Ishibashi, A Masuda, H Matsumura

    THIN SOLID FILMS   395 ( 1-2 )   138 - 141   2001.9

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA  

    Hydrogenated amorphous silicon (a-Si:H) films were prepared by catalytic chemical vapor deposition (Cat-CVD) with H contents as low as 3 at.%, with the H predominantly in the Si-H bonding configuration. Initial dangling bond (DB) densities of less than 5 x 10(15) cm(-3) and saturated DB densities of less than 4 x 10(16) cm(-3) after light-soaking were obtained in films grown C 41 at a high deposition rate of 10 Angstrom s(-1). The DB densities are comparable to or slightly lower than those for plasma-enhanced CVD a-Si:H films with deposition rates of only a few Angstrom s(-1). These facts show the feasibility of Cat-CVD a-Si:H films for application as solar-cell materials. (C) 2001 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0040-6090(01)01237-8

    Web of Science

    researchmap

  • Identification and gas phase kinetics of radical species in Cat-CVD processes of SiH4

    Y Nozaki, M Kitazoe, K Horii, H Umemoto, A Masuda, H Matsumura

    THIN SOLID FILMS   395 ( 1-2 )   47 - 50   2001.9

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA  

    Radical species produced in Cat-CVD (hot-wire CVD) processes using SiH4 were identified by employing laser spectroscopic and mass spectrometric techniques. The major product of the cracking reaction of SiH4 on the heated tungsten surfaces is atomic silicon. The direct production of SiH and SiH3 radicals is minor. Under practical deposition conditions, such as in the presence of 1.0 Pa of SiH, and 5.7 Pa of H-2, Si atoms formed on the catalyzer surfaces react with SiH4 to produce silylsilylene, H3SiSiH, which may isomerize to disilene, H2Si=SiH2. In the presence of H-2, SiH3 radicals are produced efficiently in the H+SiH4 reaction. SiH3, as well as Si2H4, contributes to the film deposition. (C) 2001 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0040-6090(01)01205-6

    Web of Science

    researchmap

  • Development of Cat-CVD apparatus - a method to control wafer temperatures under thermal influence of heated catalyzer

    M Karasawa, A Masuda, K Ishibashi, H Matsumura

    THIN SOLID FILMS   395 ( 1-2 )   71 - 74   2001.9

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA  

    In the catalytic chemical vapor deposition (Cat-CVD) method, a heated metal wire is often used as the catalyzer to crack the process gas molecules. Therefore, the temperature of the wafer near the catalyzer is influenced by heat from the catalyzer. Thus, a special technique is needed to control the wafer temperature. We examined this matter from the viewpoint of the apparatus. The electrostatic chuck (ESC) is employed in the wafer holder for the Cat-CVD apparatus for the first time. The effects of ESC on the temperature control of Si and GaAs wafers are studied in detail for various process conditions. A drastic improvement is obtained in the control of the wafer temperature using ESC. (C) 2001 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0040-6090(01)01210-X

    Web of Science

    researchmap

  • Thin-Film Transistors Fabricated by Catalytic Chemical Vapor Deposition Method

    SAKAI Masahiro, TSUTSUMI Takayuki, MASUDA Atsushi, MATSUMURA Hideki

    IEICE technical report. Electron devices   101 ( 14 )   27 - 31   2001.4

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have developed amorphous silicon thin-film transistors (TFT's) by the catalytic chemical vapor deposition (Cat-CVD) method. The amorphous silicon films deposited at a high rate (1.9 nm s^<-1>) show low spin density (1.6×10^<16>cm^<-3>) measured by electron spin resonance. TFT's, with a field effect mobility about 0.85 cm^2V^<-1>s^<-1>, are obtained with the gate SiN_x and phosphorous-doped amorphous silicon layers also fabricated by Cat-CVD even when the TFT's are fabricated by back channel etching process. The bias stress test has been performed on the TFT samples with SiO_2 as gate dielectric. The stress tolerance is very high, showing that the TFT's are suitable for operating the organic electroluminescence displays.

    CiNii Article

    CiNii Books

    researchmap

  • Catalytic chemical sputtering: A novel method for obtaining large-grain polycrystalline silicon

    H Matsumura, K Kamesaki, A Masuda, A Izumi

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS   40 ( 3B )   L289 - L291   2001.3

     More details

    Language:English   Publisher:INST PURE APPLIED PHYSICS  

    A novel method, called the catalytic chemical sputtering method, is proposed. In this method, hydrogen atoms generated by the catalytic cracking reaction between a heated tungsten catalyzer and hydrogen gas, react with solid silicon to draw out silicon-hydride species from it chemically, and such species are again decomposed by the catalytic cracking reaction or directly transported to form silicon films on substrates. Thus, silicon films are prepared at low substrate temperatures without using silane or disilane gases. By this method, polycrystalline silicon with a grain size larger than 1 mum is obtained at substrate temperatures of approximately 400 degreesC.

    DOI: 10.1143/jjap.40.L289

    Web of Science

    CiNii Article

    researchmap

  • Dominant parameter determining dangling-bond density in hydrogenated amorphous silicon films prepared by catalytic chemical vapor deposition

    A Masuda, C Niikura, Y Ishibashi, H Matsumura

    SOLAR ENERGY MATERIALS AND SOLAR CELLS   66 ( 1-4 )   259 - 265   2001.2

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    It is found that one of the dominant parameters in determining the dangling-bond (DB) density in hydrogenated amorphous silicon (a-Si:H) films prepared by catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD, is the catalyzer-surface area. a-Si:H films with an initial DB density of 4x10(15)cm(-3) and a saturated one after light soaking of 3x10(16)cm(-3) are prepared by Cat-CVD with a deposition rate of 11 Angstrom /s. "Catalytic plate" instead of the conventional wire is also proposed in order to suppress the heat radiation from the catalyzer while keeping the catalyzer surface area constant. (C) 2001 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0927-0248(00)00182-3

    Web of Science

    CiNii Article

    researchmap

  • Study on catalytic-CVD a-Si:H-based solar cells with high deposition rate

    Technical Digest of 12th International Photovoltaic Science and Engineering Conference   243-244   2001

     More details

  • Properties of phosphorus-doped polycrystalline silicon films formed by catalytic chemical vapor deposition and successive rapid thermal annealing

    Proceedings of 2001 International Conference on Rapid Thermal Processing for Future Semiconductor Devices   39-40   2001

     More details

  • Precise substrate temperature control to prepare SiN<sub>x</sub> films for PZT ferroelectric devices by catalytic chemical vapor deposition

    Extended Abstracts of 1st International Meeting on Ferroelectric Random Access Memories   130-131   2001

     More details

  • 1 m size large-area deposition of a-Si:H films by catalytic CVD using novel showerhead equipped with catalyzers

    Proceedings of 21st International Display Research Conference in conjunction with 8th International Display Workshops   1735-1736   2001

     More details

  • Raman characterization of lattice-matched GaInAsN layers grown on GaAs (001) substrates

    Technical Digest of 12th International Photovoltaic Science and Engineering Conference   665-666   2001

     More details

  • What is the difference between catalytic CVD and plasma-enhanced CVD? -Gas-phase kinetics and film properties

    Proceedings of 6th International Symposium on Sputtering & Plasma Processes   213-216   2001

     More details

  • Preparation of boron-carbon-nitrogen thin films by magnetron sputtering

    Proceedings of 6th International Symposium on Sputtering & Plasma Processes   402-405   2001

     More details

  • Properties of thin-film transistors using amorphous silicon films prepared by catalytic CVD with high deposition rate

    Digest of Technical Papers 8th International Workshop on Active-Matrix Liquid-Crystal Displays -TFT Technologies and Related Materials-   147-150   2001

     More details

  • In-situ chamber cleaning using atomic H in catalytic-CVD apparatus for mass production of a-Si:H solar cells

    Technical Digest of 12th International Photovoltaic Science and Engineering Conference   241-242   2001

     More details

  • Effects of double bonding configurations on thermal stability of low-hydrogen concentration fluorinated amorphous carbon thin-films with low dielectric constant prepared by sputtering with hydrogen dilution

    H Yokomichi, A Masuda

    VACUUM   59 ( 2-3 )   771 - 776   2000.11

     More details

    Language:English   Publisher:PERGAMON-ELSEVIER SCIENCE LTD  

    The thermal stability of low hydrogen concentration fluorinated amorphous carbon (a-C:F) thin films with low dielectric constants, prepared by magnetron sputtering with H-2 gas dilution into CF4 gas was investigated. No changes in the dielectric constant and thickness were observed for the him with a fluorine concentration of 50 at% after annealing at 300 degreesC, indicating that the thermal stability of the film was comparable to that of a film prepared by plasma chemical-vapor deposition. However? an increase in dielectric constant and a decrease in thickness were observed after annealing at 400 degreesC. Infrared absorption spectra of the sputtered films showed the vibration modes due to F2C=C &lt;, F2C=CF, HFC=C &lt; and/or H2C=C &lt; bonding configurations. The effects of these bonding configurations on thermal stability are discussed, (C) 2000 Elsevier Science Ltd. All rights reserved.

    DOI: 10.1016/S0042-207X(00)00346-8

    Web of Science

    CiNii Article

    researchmap

  • Identification of Si and SiH in catalytic chemical vapor deposition of SiH4 by laser induced fluorescence spectroscopy

    Y Nozaki, K Kongo, T Miyazaki, M Kitazoe, K Horii, H Umemoto, A Masuda, H Matsumura

    JOURNAL OF APPLIED PHYSICS   88 ( 9 )   5437 - 5443   2000.11

     More details

    Language:English   Publisher:AMER INST PHYSICS  

    Radical species produced in catalytic chemical vapor deposition (CVD), often called hot-wire CVD, processes were identified by using a laser induced fluorescence technique. Ground state Si atoms could be detected at low pressures where collisional processes in the gas phase could be ignored. The electronic temperature of Si atoms just after the formation on the catalyzer (tungsten) surfaces was 1320 +/- 490 K, when the catalyzer temperature was 2300 K. By the addition of 0.5 Pa of Ar, the electronic temperature was lowered down to 450 +/- 30 K. The absolute density of Si atoms was 3 +/- 1x10(9) cm(-3) at 10 cm below the catalyzer when the flow rate and the pressure of SiH4 were 0.5 sccm and 4 mPa, respectively. This density is just 0.3% of that of the parent SiH4 molecules. However, since the decay rate of Si atoms is fast, it can be concluded that atomic silicon is one of the major products on the heated catalyzer surfaces. SiH radicals could also be detected, but the production rate of this species is two orders of magnitude less than that of Si atoms. It was also discovered that volatile SiH4 molecules are produced by the atomic hydrogen attack on the amorphous silicon deposited on the chamber walls. (C) 2000 American Institute of Physics. [S0021-8979(00)06721-9].

    DOI: 10.1063/1.1314330

    Web of Science

    CiNii Article

    researchmap

  • Novel thin-film fabrication method combining pulsed laser ablation and catalytic chemical vapor deposition: application to preparation of Er-doped hydrogenated amorphous Si films

    A Masuda, J Sakai, H Matsumura

    VACUUM   59 ( 2-3 )   635 - 640   2000.11

     More details

    Language:English   Publisher:PERGAMON-ELSEVIER SCIENCE LTD  

    A novel thin-film fabrication method combining pulsed laser ablation and chemical vapor deposition (CVD) was proposed and applied to preparation of erbium (Er-)-doped hydrogenated amorphous silicon (a-Si : H) films. In this method, a matrix of a-Si : H films is formed by catalytic CVD using silane (SiH4), and Er is simultaneously doped in the a-Si : H films by laser ablation. Er content increases with an increase in the gas pressure during deposition, which originates from the enhanced reaction between ablated Er species and decomposed SiH4, species in the shock front of the plume in the laser ablation. It was confirmed that there is no increase in the dangling-bond density in the a-Si : H matrices accompanied with the Er doping, suggesting that Er-doped a-Si:H films prepared by the proposed method are promising for optical-communication devices. (C) 2000 Elsevier Science Ltd. All rights reserved.

    DOI: 10.1016/S0042-207X(00)00327-4

    Web of Science

    CiNii Article

    researchmap

  • Proposal of chemical sputtering method and its application to prepare large grain size poly-Si films

    KAMESAKI Koji, MASUDA Atsushi, IZUMI Akira, MATSUMURA Hideki

    IEICE technical report. Component parts and materials   100 ( 396 )   7 - 12   2000.10

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Si films are usually prepared by chemical vapor deposition(CVD) method. In the present work, Si films are formed by utilizing chemical transport of SiH_n species which are generated by the reaction between a solid Si and H atoms. We named the method"chemical sputtering"from an analogy to the conventional physical sputtering. The deposited Si films on Si, SiO_2 and quartz substrates are polycrystalline ones, and there is no amorphous phase detected by Raman spectroscopy. The grain size becomes large, compared with polycrystalline Si films formed by any low-temperature deposition methods ever reported. The grain size exceeds 1μm for the films on SiO_2 substrate with the thickness about 1μm.

    CiNii Article

    CiNii Books

    researchmap

  • Control of polycrystalline silicon structure by the two-step deposition method

    A Heya, A Izumi, A Masuda, H Matsumura

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS   39 ( 7A )   3888 - 3895   2000.7

     More details

    Language:English   Publisher:JAPAN J APPLIED PHYSICS  

    Polycrystalline silicon (poly-Si) films are obtained at temperatures below 400 degrees C by the catalytic chemical vapor deposition (Cat-CVD), often called "hot-wire CVD" method, using two-step deposition (TSD). In TSD, the substrate temperature is changed during deposition from the initial step for a short time of 200 s to the second step of 820 s. A comparative study on the structural properties of Cat-CVD poly-Si films prepared by the TSD method and those of the films prepared by conventional method was carried out using Raman spectroscopy, atomic force microscopy, reflection high-energy electron diffraction and transmission electron microscopy observation. It is found that the surface morphology, grain structure and thickness of the amorphous Si incubation layer are all correlated, and the structures are changed by TSD method.

    DOI: 10.1143/JJAP.39.3888

    Web of Science

    CiNii Article

    researchmap

  • Effects of nitrogen incorporation on structural properties of fluorinated amorphous carbon films

    H Yokomichi, A Masuda

    JOURNAL OF NON-CRYSTALLINE SOLIDS   271 ( 1-2 )   147 - 151   2000.6

     More details

    Language:English   Publishing type:Rapid communication, short report, research note, etc. (scientific journal)   Publisher:ELSEVIER SCIENCE BV  

    Nitrogen-incorporated fluorinated amorphous carbon (a-C:F:N) films were prepared by plasma chemical vapor deposition at room temperature using a CF4, CH4 and N-2 gas mixture. Structural, optical and defect properties of these films were investigated by infrared (IR) absorption, X-ray photoelectron spectroscopy, ultraviolet visible absorption, ellipsometry, and electron spill resonance measurements. Carbon, fluorine and nitrogen concentrations, respectively, of the a-C:F:N films ranged from (33, 67, 0) to (41, 46, 13) with an increase in nitrogen gas flow rate. The dielectric constant of these films estimated by ellipsometry was less than 2.5. From IR measurements an intensity ratio of CF2 to CF for a-C:F:N films was comparable to that for a-C:F film with larger fluorine concentrations. Furthermore, the IR band known as the Raman D band was observed, whereas no TR signal due to Raman G band was observed. Based on these results, we suggest that a-C:F:N films have a low-dimensional structure without crystalline regions. The dangling bond density decreased and the optical band gap was approximately constant with increasing nitrogen concentration. (C) 2000 Published by Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0022-3093(00)00104-6

    Web of Science

    CiNii Article

    researchmap

  • Mechanism of low-temperature crystallization of amorphous silicon by atomic hydrogen anneal

    A Heya, A Masuda, H Matsumura

    JOURNAL OF NON-CRYSTALLINE SOLIDS   266 ( Pt.A )   619 - 623   2000.5

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    Amorphous silicon (a-Si) films prepared by catalytic chemical vapor deposition method using SiH4 and H-2 gas mixtures are crystallized at temperatures &lt;350 degrees C by annealing in atomic hydrogen, (atomic hydrogen anneal: AHA). Atomic hydrogen was generated by catalytic cracking reaction of H-2 gas on a heated tungsten catalyzer. The crystalline fraction of a-Si film sample increased from 0% to several-tens% by AHA, and at the same time, the sample was etched with a rate of several-tens nm/min by AHA. Crystallization and etching by AHA depend on structural properties which are related to potential crystallinity in the initial a-Si film samples. (C) 2000 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0022-3093(99)00754-1

    Web of Science

    CiNii Article

    researchmap

  • Transport mechanism of deposition precursors in catalytic chemical vapor deposition studied using a reactor tube

    N Honda, A Masuda, H Matsumura

    JOURNAL OF NON-CRYSTALLINE SOLIDS   266 ( Pt.A )   100 - 104   2000.5

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    Transport mechanism of deposition precursors in catalytic chemical vapor deposition (CVD) often called hot-wire CVD, was investigated to identify the precursor species and make the conditions for uniform-film preparation known. Precursor-transport mechanism was analysed from the film-thickness profiles of amorphous silicon in the reactor tube as a function of the distance from the catalyzer. It was found that precursors are mainly transported by thermal diffusion. However, the affect of the gas flow on precursor transport was effective at a pressure of a few tens Pa and gas-flow velocity faster than several m/s. Decomposition probability of one SiH4 molecule by one collision with the catalyzer is estimated to be about 40%. Efficiency of gas use for SiH4 was several tens % because of high decomposition probability of SiH4. (C) 2000 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0022-3093(99)00747-4

    Web of Science

    researchmap

  • Novel deposition technique of Er-doped a-Si : H combining catalytic chemical vapor deposition and pulsed laser-ablation

    A Masuda, J Sakai, H Akiyama, O Eryu, K Nakashima, H Matsumura

    JOURNAL OF NON-CRYSTALLINE SOLIDS   266 ( Pt.A )   136 - 140   2000.5

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    Er-doped hydrogenated amorphous silicon (a-Si:H) films were prepared by a novel deposition technique combining catalytic chemical vapor deposition (CVD) and pulsed laser-ablation. Er content was controlled, ranging from 8 x 10(19) to 7 x 10(20) cm(-3), by increasing the gas pressure during deposition from 6.7 to 106 Pa. Photoluminescence (PL) properties were measured at various temperatures. PL originating from Er 4f-intra transition was observed even at room temperature. Activation energy for the quenching the PL intensity is smaller than that for Er-doped single crystal Si. Based on these results we suggest that Er-doped a-Si:H films prepared by our method are promising materials for optical-communication devices. (C) 2000 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0022-3093(99)00780-2

    Web of Science

    CiNii Article

    researchmap

  • Low-temperature preparation of poly-Si films by catalytic CVD and application to TFTs

    MASUDA Atsushi, IZUMI Akira, MATSUMURA Hideki

    IEICE technical report. Electron devices   100 ( 1 )   13 - 18   2000.4

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD, is one of the promising candidates for large-area thin-film formation method at low temperatures. Recently, Cat-CVD is widely studied in order to apply to device-fabrication process in semiconductor industry. Polycrystalline silicon (poly-Si) films prepared by Cat-CVD are expected to be applied to thin-film transistors (TFTs). In 1991 the authors succeeded in preparing poly-Si Films by this method for the first time. Until 1998 they systematically studied preparation of poly-Si films by Cat-CVD and application to TFTs under the R&D Projects in Cooperation with Academic Institutions "Cat-CVD Fabrication Processes for Semiconductor Devices" from the New Energy and Industrial Technology Development Organization (NEDO). In this paper various structural and electrical properties of poly-Si films prepared by Cat-CVD at low temperatures are presented.

    CiNii Article

    CiNii Books

    researchmap

  • Luminescence Properties of Er-Doped a-Si:H Films Prepared by Cat-CVD Combined with Pulsed Laser Ablation

    MASUDA Atsushi, SAKAI Joe, AKIYAMA Haruo, ERYU Osamu, NAKASHIMA Kenshiro, MATSUMURA Hideki

    2000 ( 10 )   31 - 35   2000.2

     More details

  • High performance amorphous-silicon thin film transistors prepared by catalytic chemical vapor deposition with high deposition rate

    Extended Abstract of the 1st International Conference on Cat-CVD(Hot-Wire CVD)Process   311 - 314   2000

     More details

  • Development of Cat-CVD apparatus-A method to control wafer temperatures under thermal influence of heated catalyzer

    Extended Abstract of the 1st International Conference on Cat-CVD(Hot-Wire CVD)Process   117 - 120   2000

     More details

  • High stability amorphous silicon films for light soaking prepared by catalytic CVD with high deposition rate

    Extended Abstract of the 1st International Conference on Cat-CVD(Hot-Wire CVD)Process   143 - 146   2000

     More details

  • Influence of a-Si : H deposition by catalytic CVD on transparent conducting oxides

    Extended Abstract of the 1st International Conference on Cat-CVD(Hot-Wire CVD)Process   147 - 150   2000

     More details

  • Formation of silicon films for solar cells by Cat-CVD method

    Extended Abstract of the 1st International Conference on Cat-CVD(Hot-Wire CVD)Process   163 - 166   2000

     More details

  • Fabrication of amorphous carbon nitride films by hot wire chemical vapor deposition

    Extended Abstract of the 1st International Conference on Cat-CVD(Hot-Wire CVD)Process   205 - 208   2000

     More details

  • Identification and gas phase kinetics of radical species in Cat-CVD processes of SiH<sub>4</sub>

    Extended Abstract of the 1st International Conference on Cat-CVD(Hot-Wire CVD)Process   41 - 44   2000

     More details

  • Photoinduced volume change in a-Si : H films prepared by Cat-CVD method

    Extended Abstract of the 1st International Conference on Cat-CVD(Hot-Wire CVD)Process   69 - 72   2000

     More details

  • Proposal of catalytic chemical sputtering method and its application to prepare large grain size poly-Si

    Extended Abstract of the 1st International Conference on Cat-CVD(Hot-Wire CVD)Process   85 - 88   2000

     More details

  • Guiding principles for obtaining device-grade hydrogenated amorphous silicon films by catalytic chemical vapor deposition

    Extended Abstract of the 1st International Conference on Cat-CVD(Hot-Wire CVD)Process   99 - 102   2000

     More details

  • Effect of atomic H and chamber cleaning in catalytic CVD on reproducibility of a-Si : H film properties

    Digest of Technical Papers 2000 International Workshop on Active-Matrix Liquid-Crystal Displays-TFT Technologies and Related Materials-   219 - 222   2000

     More details

  • Study on Cat-CVD Si<sub>3</sub>N<sub>4</sub> films and its application to ULSI process

    Extended Abstract of the 1st International Conference on Cat-CVD(Hot-Wire CVD)Process   241 - 244   2000

     More details

  • Low-k silicon nitride film for copper interconnects process prepared by Cat-CVD method at low temperature

    Extended Abstract of the 1st International Conference on Cat-CVD(Hot-Wire CVD)Process   245 - 248   2000

     More details

  • Preparation of SiN<sub>x</sub> passivation films for PZT ferroelectric capacitors at low substrate temperatures by catalytic CVD

    Extended Abstract of the 1st International Conference on Cat-CVD(Hot-Wire CVD)Process   253 - 256   2000

     More details

  • Mixing mechanism of h-GaN in c-GaN growth on GaAs (001) substrates

    A Hashimoto, H Wada, T Ueda, Y Nishio, A Masuda, A Yamamoto

    PHYSICA STATUS SOLIDI A-APPLIED RESEARCH   176 ( 1 )   519 - 524   1999.11

     More details

    Language:English   Publisher:WILEY-V C H VERLAG GMBH  

    Systematic investigation of the V/III ratio dependence in the mixing of the h-GaN phase to the c-GaN layers grown on GaAs (001) substrates has been performed and a drastical change of the mixing nature in the initial process is reported. The h-GaN mixing nature on the {111} facets during growth strongly depends on the V/III ratio in the initial buffer layer formation. The h-GaN grain-mixing only occurs on (111)A facets under a Ga-rich formation condition, but the h-GaN mixing grains on the {111}B facets gradually appear together with the vanishing of h-GaN grains on the {111}A facets during the annealing process under rf-nitrogen beam irradiation. The mixing processes under N-rich and Ga-rich growth conditions are also discussed. The results indicate that it is possible to grow high quality c-GaN layers by control of the mixing at the initial growth stage through the effective V/III ratio dependence.

    DOI: 10.1002/(SICI)1521-396X(199911)176:1<519::AID-PSSA519>3.0.CO;2-A

    Web of Science

    CiNii Article

    researchmap

  • Effect of sputtering with hydrogen dilution on fluorine concentration of low hydrogen content fluorinated amorphous carbon thin films with low dielectric constant

    H Yokomichi, A Masuda

    JOURNAL OF APPLIED PHYSICS   86 ( 5 )   2468 - 2472   1999.9

     More details

    Language:English   Publisher:AMER INST PHYSICS  

    The fluorine concentration of fluorinated amorphous carbon (a-C:F) thin films with a low dielectric constant was controlled using magnetron sputtering with hydrogen gas dilution into CF4 gas. The structural, optical and defect properties of these films were investigated by infrared (IR) absorption, x-ray photoelectron spectroscopy, ultraviolet visual spectroscopy and electron spin resonance as well as dielectric constant measurements. IR absorption spectra of the films with various fluorine concentrations prepared by hydrogen gas dilution showed no vibration modes between hydrogen and carbon atoms in CH, CH2, and CH3 configurations, suggesting that the hydrogen concentration of these films is less than a few at. %. Furthermore, the structural properties change at a fluorine concentration of approximately 50 at. %. However, the dielectric constant of 2.5 that is required for the interlayer materials for the next generation of ultralarge scale integrated devices remains unchanged at this fluorine concentration. Based on these results, the thermal stability of these a-C:F films is discussed briefly. (C) 1999 American Institute of Physics. [S0021-8979(99)08417-0].

    DOI: 10.1063/1.371078

    Web of Science

    CiNii Article

    researchmap

  • Annealing effect of Pb(Zr, Ti)O-3 ferroelectric capacitor in active ammonia gas cracked by catalytic chemical vapor deposition system

    T Minamikawa, Y Yonezawa, T Nakamura, Y Fujimori, A Masuda, H Matsumura

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS   38 ( 9B )   5358 - 5360   1999.9

     More details

    Language:English   Publisher:JAPAN J APPLIED PHYSICS  

    The effects of annealing treatments on Pb(Zr,Ti)O-3 (PZT) film capacitors in active ammonia gas are investigated as a function of substrate temperature. Since active ammonia gas is generated by cracking of ammonia gas using a heated catalyzer in catalytic chemical vapor deposition (Cat-CVD) apparatus, knowledge of the effect of active ammonia gas on ferroelectric properties is important for the application of Cat-CVD silicon nitride films for the passivation of ferroelectric devices such as PZT, No degradation of ferroelectricity was detected for treatment carried out below 200 degrees C. It was revealed that the Cat-CVD method is a promising candidate for the preparation of SINx passivation films on ferroelectrics.

    DOI: 10.1143/JJAP.38.5358

    Web of Science

    CiNii Article

    researchmap

  • Anisotropic electrical conduction and reduction in dangling-bond density for polycrystalline Si films prepared by catalytic chemical vapor deposition

    C Niikura, A Masuda, H Matsumura

    JOURNAL OF APPLIED PHYSICS   86 ( 2 )   985 - 990   1999.7

     More details

    Language:English   Publisher:AMER INST PHYSICS  

    Polycrystalline Si (poly-Si) films with high crystalline fraction and low dangling-bond density were prepared by catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD. Directional anisotropy in electrical conduction, probably due to structural anisotropy, was observed for Cat-CVD poly-Si films. A novel method to separately characterize both crystalline and amorphous phases in poly-Si films using anisotropic electrical conduction was proposed. On the basis of results obtained by the proposed method and electron spin resonance measurements, reduction in dangling-bond density for Cat-CVD poly-Si films was achieved using the condition to make the quality of the included amorphous phase high. The properties of Cat-CVD poly-Si films are found to be promising in solar-cell applications. (C) 1999 American Institute of Physics. [S0021-8979(99)03514-8].

    DOI: 10.1063/1.370836

    Web of Science

    CiNii Article

    researchmap

  • Study on improvement on uniformity of Cat-CVD SiNx thin films

    KUDO Akiyoshi, MASUDA Atsushi, IZUMI Akira, MATSUMURA Hideki

    IEICE technical report. Electron devices   99 ( 21 )   59 - 66   1999.4

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    SiNx thin-film deposition by catalytic CVD (Cat-CVD) method is expected to realize a 1ow-damage plasmaldss process in fabrication of passivation fi1ms for compound-semiconductor devices etc. A tungsten wire was used as a catalyzer to decompose SiH_4 and NH_3 gases, SiNx thin films were deposited on GaAs substrates, and the uniformity of well-stoichiometric (Si_3N_4) films, with about 2.0 in refractive index, was evaluated by ellipsometry. It was found that the film thickness decreases about 15% circumferentially from the center, but the uniformity of refractive index keeps within about ±2.5% in a 4-inch wafer even though Si and N are supplied seperately. It is confirmed that preparation of device-quality SiNx thin films on large substrates can be achieved by Cat-CVD method.

    CiNii Article

    CiNii Books

    researchmap

  • Surface cleaning and nitridation of compound semiconductors using gas-decomposition reaction in Cat-CVD method

    A Izumi, A Masuda, H Matsumura

    THIN SOLID FILMS   343   528 - 531   1999.4

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA  

    In this paper, we proposed a novel surface cleaning and nitridation technology of compound semiconductors using gas-decomposition reactions in a catalytic chemical vapor deposition (Cat-CVD) system. An NH3 gas was used for the surface modification of GaAs(100). X-ray photoelectron spectroscopy measurements revealed that, (1) oxygen related peaks vanished by a 3 min-nitridation treatment at 150 degrees C, (2) nanometer-thick GaN films were formed on the surface by 30 min-nitridation treatments, (3) nitrided GaAs had good oxidation resistances. Atomic force microscope observations revealed that these surfaces were very smooth (root mean square roughness, 0.28 nm). (C) 1999 Elsevier Science S.A. All rights reserved.

    DOI: 10.1016/S0040-6090(98)01688-5

    Web of Science

    CiNii Article

    researchmap

  • Low-temperature crystallization of amorphous silicon using atomic hydrogen generated by catalytic reaction on heated tungsten

    A Heya, A Masuda, H Matsumura

    APPLIED PHYSICS LETTERS   74 ( 15 )   2143 - 2145   1999.4

     More details

    Language:English   Publisher:AMER INST PHYSICS  

    A method for crystallizing amorphous silicon (a-Si) films at low temperatures is proposed. In the method, a-Si films are crystallized at temperatures lower than 400 degrees C by annealing in the presence of atomic hydrogen. The hydrogen atoms are generated by catalytic cracking reaction of H-2 gas on a heated tungsten catalyzer in the catalytic chemical vapor deposition apparatus. It is found that the crystalline fraction of such an a-Si film is increased from 0% to several tens %, and at the same time the a-Si film itself is etched with the rate of several tens nm/min by annealing in atomic hydrogen. This increment of crystalline fraction appears dependent on the quality of initial a-Si films. It is implied that there are several types of a-Si even if the difference among a-Si films cannot be detected by Raman scattering spectroscopy and other means for measurements. (C) 1999 American Institute of Physics. [S0003-6951(99)01415-1].

    DOI: 10.1063/1.123782

    Web of Science

    CiNii Article

    researchmap

  • Crystallization of a-Si film by atomic hydrogen anneal at low temperatures

    Extended Abstract of the Open Meeting of Cat-CVD Project   39 - 43   1999

     More details

  • Dominant parameter determining dangling-bond density in a Si : H films prepared by catalytic CVD

    Technical Digest of 11th International Photovoltaic Science and Engineering Conference   399 - 400   1999

     More details

  • Suppression of hexagonal GaN mixing by As4 molecular beam in cubic GaN growth on GaAs (0 0 1) substrates

    Akihiro Hashimoto, Takanori Motizuki, Hideki Wada, Atsushi Masuda, Akio Yamamoto

    Journal of Crystal Growth   201   392 - 395   1999

     More details

    Language:English   Publisher:Elsevier Science B.V.  

    A mechanism of hexagonal GaN crystal-phase mixing in cubic GaN growth under As4 molecular beam irradiation on GaAs (0 0 1) substrates has been investigated. It is found that the As4 beam irradiation during growth of the GaN is effective for the suppression of the hexagonal GaN mixing on the {1 1 1} A planes, although it is not so effective for the {1 1 1} B planes. The results strongly indicate that the high-quality cubic GaN layers will be obtained in the MBE growth by the As4 beam irradiation combined with the formation techniques of the atomically flat surfaces such as the high-temperature atomic hydrogen treatment.

    DOI: 10.1016/S0022-0248(98)01356-6

    Scopus

    researchmap

  • Novel thin-film fabrication method combining pulsed laser ablation and catalytic chemical vapor deposition : Application to preparation of Er-doped hydrogenated amorphous Si films

    Proceedings of the 5th International Symposium on Sputtering & Plasma Processes   23 - 24   1999

     More details

  • Thermal stability of low hydrogen concentration fluorinated amorphous carbon thin films with low dielectric constant prepared by sputtering with hydrogen dilution

    Proceedings of the 5th International Symposium on Sputtering & Plasma Processes   215 - 216   1999

     More details

  • Improvement of polycrystalline silicon film by atomic hydrogen anneal at low temperature

    Digest of Technical Papers 1999 International Workshop on Active-Matrix Liquid-Crystal Displays-TFT Technologies and Related Materials-   119 - 122   1999

     More details

  • Direct crystal growth of Poly-Si films on glass substrates by catalytic CVD with incubation time

    Digest of Technical Papers 1999 International Workshop on Active-Matrix Liquid-Crystal Displays-TFT Technologies and Related Materials-   123 - 126   1999

     More details

  • Effect of exposure of Pb(Zr,Ti)O<sub>3</sub> ferroelectric capacitors to active ammonia gas cracked by catalytic chemical vapor deposition system

    Extended Abstract of the Open Meeting of Cat-CVD Project   31 - 34   1999

     More details

  • Structural properties of polycrystalline silicon thin films prepared by catalytic CVD

    Extended Abstract of the Open Meeting of Cat-CVD Project   45 - 48   1999

     More details

  • Electrical properties of polycrystalline silicon films prepared by catalytic CVD

    Extended Abstract of the Open Meeting of Cat-CVD Project   49 - 52   1999

     More details

  • Structural control of Cat-CVD poly-Si films by gas phase reaction using pure SiH<sub>4</sub> gas

    Technical Digest of 11th International Photovoltaic Science and Engineering Conference   781 - 782   1999

     More details

  • Transport and generation mechanism of deposition precursors in catalytic CVD

    Extended Abstract of the Open Meeting of Cat-CVD Project   9 - 13   1999

     More details

  • Detection of free radicals in Cat-CVD processes by laser induced fluorescence spectroscopy

    Extended Abstract of the Open Meeting of Cat-CVD Project   15 - 18   1999

     More details

  • Suppression of heat radiation in catalytic CVD using "catalytic plate"

    Extended Abstract of the Open Meeting of Cat-CVD Project   19 - 22   1999

     More details

  • High-rate deposition of SiN<sub>x</sub> thin films prepared by Cat-CVD method

    Extended Abstract of the Open Meeting of Cat-CVD Project   27 - 29   1999

     More details

  • Material properties of heteroepitaxial yttria-stabilized zirconia films with controlled yttria contents on Si prepared by reactive sputtering

    S Horita, M Watanabe, S Umemoto, A Masuda

    VACUUM   51 ( 4 )   609 - 613   1998.12

     More details

    Language:English   Publisher:PERGAMON-ELSEVIER SCIENCE LTD  

    Material properties of heteroepitaxial zirconia films on (100) Si with the controlled yttria Y2O3 contents have been studied. The epitaxial zirconia films were prepared by dc magnetron reactive sputtering with Ar + O-2 gas, where the metallic Zr + Y films were deposited on the weakly oxidized Si substrates prior to the zirconia films deposition. If was found from XRD and RHEED measurements that the 100 nm-thick zirconia films have cubic crystal structures for the yttria content of 1.2-10.9 mol%. For 0.6 mol%, the phase change of the zirconia film was observed during the cooling process from the growth temperature of 800 degrees C by means of RHEED measurement At the growth temperature, the deposited film on Si is a cubic (100) zirconia while at the room temperature if is a bilayer of monoclinic zirconia/cubic (100) zirconia. The hysteresis width of the capacitance-voltage (C-V) characteristics due,to ion drift is reduced by decreasing the plasma radiation to the growing film although the amount of the fixed charge in the films is hardly affected (C) 1998 Elsevier Science Ltd. All rights reserved.

    DOI: 10.1016/S0042-207X(98)00259-0

    Web of Science

    CiNii Article

    researchmap

  • Effects of oxygen gas addition and substrate cooling on preparation of amorphous carbon nitride films by magnetron sputtering

    H Yokomichi, H Sakima, A Masuda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   37 ( 9A )   4722 - 4725   1998.9

     More details

    Language:English   Publisher:JAPAN SOC APPLIED PHYSICS  

    Amorphous carbon nitride (a-C1-xNx) films were prepared by magnetron sputtering using nitrogen (N-2) and oxygen (O-2) gases in order to reduce the sp(2) structural region and to reduce triple bonding between carbon and nitrogen atoms (C=N) with oxygen radical. Furthermore, these films were prepared at liquid-nitrogen temperature in order to increase the nitrogen concentration. Electron spin resonance (ESR), infrared (IR) absorption, ultra violet visual (UV-vis) spectroscopy and X-ray photoelectron spectroscopy (XPS) were used to investigate the effects of O-2 gas addition and substrate cooling on the preparation of a-C1-xNx films by magnetron sputtering.

    DOI: 10.1143/JJAP.37.4722

    Web of Science

    CiNii Article

    researchmap

  • Surface cleaning of garium arsenide and formation of silicon nitride using by catalytic-CVD method

    IZUMI Akira, MASUDA Atsushi, MATSUMURA Hideki

    IEICE technical report. Electron devices   98 ( 184 )   41 - 46   1998.7

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We proposed a novel method of low temperature surface cleaning technology of GaAs and formation of high quality silicon nitride(SiN_x) films by catalytic chemical vapor deposition(Cat-CVD) method. An NH_3 gas was used for the surface cleaning of(100)GaAs without any chemical treatment at the substrate temperature as low as 150℃. XPS measurements revealed obvious reduction of intensities of oxygen related peaks of GaAs and appearance of nitrogen related peaks from long time treated samples. Using a SiH_4 and NH_3 gas mixture, stoichiometric, conformal step coverage and low hydrogen content SiN_x films were obtained below 300℃ by this method.

    CiNii Article

    CiNii Books

    researchmap

  • Fabrication of Pb(Zr,Ti)O<inf>3</inf>/MgO/GaN/GaAs structure for optoelectronic device applications

    Atsushi Masuda, Shinya Morita, Hideki Shigeno, Akiharu Morimoto, Tatsuo Shimizu, Jun Wu, Hiroyuki Yaguchi, Kentaro Onabe

    Journal of Crystal Growth   189-190   227 - 230   1998.6

     More details

    Pb(Zr,Ti)O3 (PZT) films were deposited on cubic GaN/(1 0 0)GaAs for the first time with or without MgO buffer layer by pulsed laser ablation. MgO films were grown on cubic GaN with cube-on-cube epitaxy. It was found that MgO buffer layer is very useful for suppressing the diffusion of O and metal elements into GaN layer. Preferentially [1 0 0]-oriented perovskite PZT films were obtained on cubic GaN with MgO buffer layer although randomly oriented perovskite PZT films were obtained without MgO buffer layer. PZT/MgO/GaN structure is one of the promising candidates for integrated devices composed of ferroelectric waveguides and blue laser diodes. © 1998 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0022-0248(98)00241-3

    Scopus

    researchmap

  • Structural and electrical properties of yttria-stabilized zirconia films with controlled Y content heteroepitaxially grown on Si by reactive sputtering

    S Horita, M Watanabe, A Masuda

    MATERIALS SCIENCE AND ENGINEERING B-SOLID STATE MATERIALS FOR ADVANCED TECHNOLOGY   54 ( 1-2 )   79 - 83   1998.6

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA  

    Yttria-stabilized zirconia (YSZ) films with controlled Y content were heteroepitaxially grown on (100) Si substrates by de magnetron sputtering using Y and Zr metallic targets. Y content was controlled by in-situ position control of high-density-plasma region. It is found that the deposited films of the thickness of 100 nm with the Y content between 2.3 and 19.7 at.% have the (100) cubic YSZ structure directly on (100) Si, while that with the Y content of 1.2 at.% has the (100) monoclinic (ZrO2)(1-x)(Y2O3)(x) structure on (100) Si with a (100) cubic YSZ intermediate layer. The monoclinic structure is found to be changed from the cubic structure during the cooling process after the deposition. On the other hand, the films of the thickness of 100 nm with the Y content between 1.2 and 19.7 at.% have the (100) YSZ cubic structure on (100) Si regardless of the Y content. Both the hysteresis width in capacitance-voltage (C-V) characteristics due to the ion drift and the leakage current are small for the film of the thickness of 100 nm with the Y content of 1.2 at.% compared with those with the Y content between 2.3 and 19.7 at.%. On the other hand, the opposite properties are observed for the films of 10 nm thickness. (C) 1998 Elsevier Science S.A. All rights reserved.

    Web of Science

    researchmap

  • Preparation of fluorinated amorphous carbon thin films

    H Yokomichi, T Hayashi, T Amano, A Masuda

    JOURNAL OF NON-CRYSTALLINE SOLIDS   227 ( Pt.A )   641 - 644   1998.5

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    Fluorinated amorphous carbon (a-C:F) thin films were prepared using CH, and CF, gases by plasma chemical vapor deposition (CVD) method. The basic properties of these films were investigated by electron spin resonance (ESR), infrared (IR) absorption, optical absorption, X-ray photoelectron spectroscopy (XPS) and dielectric constant measurements. XPS measurement revealed that the fluorine concentration of the CVD film increased to approximately 67 at.%. In one film, the CF, mode appeared in the IR spectrum and the hydrogen-related modes disappeared. The dielectric constant of the film was estimated to be 2.2 at 1 MHz. The ESR spectra revealed that the carbon dangling bond density and the line width increased with increasing fluorine concentration. The increase in the dangling bond density and the larger line width of the ESR spectrum are attributed to the larger atomic radius of fluorine compared to that of hydrogen and to the hyperfine interaction between the dangling bonds and the fluorine nucleus, respectively. On the other hand, the g-value and the optical band gap remained unchanged with increasing fluorine concentration. This result is consistent with the fact that the g-value is dominated by the band state. We also discuss the effect of deposition temperature on the film properties. (C) 1998 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0022-3093(98)00234-8

    Web of Science

    CiNii Article

    researchmap

  • Changes in structure and nature of defects by annealing of fluorinated amorphous carbon thin films with low dielectric constant

    H Yokomichi, T Hayashi, A Masuda

    APPLIED PHYSICS LETTERS   72 ( 21 )   2704 - 2706   1998.5

     More details

    Language:English   Publisher:AMER INST PHYSICS  

    Thermal stability of fluorinated amorphous carbon (a-C:F) thin films with a low dielectric constant was investigated by electron spin resonance (ESR), infrared (IR) absorption, optical absorption, and x-ray photoelectron spectroscopy (XPS) as well as measurements of him thickness and dielectric constant. IR and XPS measurements suggested that the strength of the CF3 and CF2 bonding configurations against annealing are weaker than that of the CF bonding configuration, ESR measurements revealed that the dangling bond density decreased by one order of magnitude after annealing at 300 degrees C and increased after annealing at 400 degrees C. Furthermore, the g value and the linewidth of the ESR spectrum decreased with increasing annealing temperature. Based on these results, the changes in structure and defect configuration are discussed. (C) 1998 American Institute of Physics.

    DOI: 10.1063/1.121105

    Web of Science

    CiNii Article

    researchmap

  • Structural and conductivity change caused by N, O and C incorporation in a-Si : H

    T Shimizu, T Ishii, M Kumeda, A Masuda

    JOURNAL OF NON-CRYSTALLINE SOLIDS   227 ( Pt.A )   403 - 406   1998.5

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    Effect of incorporating of N, O or C atoms with an amount smaller than 10 at.% in hydrogenated amorphous silicon (a-Si:H) films was investigated. Both N and O atoms cause nearly the same extent of structural randomness in a-Si:H network and C atom induces smaller structural randomness. On the other hand, larger neutral-dangling-bond (DB) density is induced by the O or C incorporation than the N incorporation. The sum of the neutral- and the negatively-charged-DB density is larger for the N incorporation than the O incorporation, and is smallest for the C incorporation. These results suggest that the main origin of the increased charged-DB density with the N or O incorporation is not potential fluctuations arising from structural randomness caused by N or O incorporation. Positively-charged fourfold-coordinated N, N(4)(+), or positively-charged threefold-coordinated O, O;, which acts as the donor, is suggested to be the main origin of the increased charged DBs from the change in the dark conductivity with the N, O or C incorporation. (C) 1998 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0022-3093(98)00083-0

    Web of Science

    CiNii Article

    researchmap

  • 触媒CVD(Cat-CVD)法による低温薄膜形成

    増田 淳, 和泉 亮, 松村 英樹

    表面   36 ( 3 )   149 - 156   1998

     More details

    Language:Japanese   Publisher:広信社  

    CiNii Article

    CiNii Books

    researchmap

  • Structural and electrical anisotropy and high absorption in poly-Si films prepared by catalytic chemical vapor deposition

    A Masuda, R Iiduka, A Heya, C Niikura, H Matsumura

    JOURNAL OF NON-CRYSTALLINE SOLIDS   227 ( Pt.B )   987 - 991   1998

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    Structural, electrical and optical properties of polycrystalline Si (poly-Si) films prepared by catalytic chemical vapor deposition (cat-CVD) method, often called hot-wire CVD method, are demonstrated. Crystalline fraction for the poly-Si films is easily controlled between about 0% and 80% by changing the flow-rate ratio of SiH(4) to H(2) during deposition. Transmission electron microscopy (TEM) observation reveals that cat-CVD poly-Si films with large crystalline fractions consist of columnar grains surrounded with an interlayer amorphous phase. Directional anisotropy in electrical conductivity is also observed, which is correlated with the structural anisotropy observed by TEM. Differences in the property of an amorphous layer in the poly-Si films is also indicated by the temperature-dependence of the conductivity. The origin of the absorption coefficient of cat-CVD poly-Si films is also discussed. (C) 1998 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0022-3093(98)00259-2

    Web of Science

    CiNii Article

    researchmap

  • 5a-A-11 Structural defects and light-induced effects of fluorinated amorphous carbon

    Yokomichi H., Hayashi T., Amano T., Masuda A.

    Meeting abstracts of the Physical Society of Japan   52 ( 2 )   141 - 141   1997.9

     More details

    Language:Japanese   Publisher:The Physical Society of Japan (JPS)  

    CiNii Article

    CiNii Books

    researchmap

  • Influence of Pb incorporation on light-induced phenomena in amorphous Ge100-x-yPbxSy thin films

    A Masuda, Y Yonezawa, A Morimoto, M Kumeda, T Shimizu

    JOURNAL OF NON-CRYSTALLINE SOLIDS   217 ( 2-3 )   121 - 135   1997.9

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    Three series of amorphous Ge100-x-yPbxSy thin films with y=56.5, 63 and 70 were prepared by flash evaporation. Light-induced phenomena such as photodarkening (PD) and light-induced electron paramagnetic resonance (LEPR) were examined under various conditions. There is no obvious relationship between the PD and the LEPR, showing that the PD does not originate from the bond breaking. The PD gradually disappears with Pb incorporation. X-ray photoelectron spectroscopy study and the decrease in root B, which is the slope of the Tauc plot, with illumination revealed that the disappearance of the PD in Ph-incorporated Ge-S films is mainly caused by the tightening of the network structure with Pb incorporation. The disappearance of the LEPR results from other modifications of the network structure. The electrical and optical properties of amorphous Ge100-x-yPbxSy thin films are also discussed. (C) 1997 Elsevier Science B.V.

    DOI: 10.1016/S0022-3093(97)00148-8

    Web of Science

    researchmap

  • Preparation of fluorinated amorphous carbon thin films with low dielectric constant

    HAYASHI Tohru, AMANO Tomihiro, MASUDA Atsushi, YOKOMICHI Haruo

    Technical report of IEICE. LQE   97 ( 100 )   1 - 6   1997.6

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Fluorinated amorphous carbon(a-C:F) thin films were prepared using CH_4 and CF_4 gases by the plasma chemical vapor deposition (CVD) method. Electron spin resonance(ESR), infrared(IR) absorption, optical absorption, X-ray photoelectron spectroscopy(XPS) and dielectric constant measurements were carried out in order to investigate the basic properties of these films. XPS measurements revealed that the fluorine concentration of the films increased with increasing R, where R is the ratio of CF_4 flow rate to the total gas flow rate. When R = 0.97, the fluorine concentration increased remarkably to approximately 67 at.%. In this film, CF_3 mode appeared in the IR spectrum and the hydrogen-related modes disappeared. The dielectric constant of the film was estimated to be 2.2 at 1MHz and the line width of the ESR spectrum of the film, 4.2 mT, was broader than that of the other films. We also discuss the effect of thermal annealing on dielectric constant and the fluorine bonding configuration.

    CiNii Article

    CiNii Books

    researchmap

  • Interface control of Pb(ZrxTi1-x)O-3 thin film on silicon substrate with heteroepitaxial YSZ buffer layer

    S Horita, T Naruse, M Watanabe, A Masuda, T Kawada, Y Abe

    APPLIED SURFACE SCIENCE   117   429 - 433   1997.6

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    The interface between a PZT film and an Si(100) substrate was controlled by using a heteroepitaxial YSZ buffer layer. A 10 nm thick YSZ buffer layer was able to prevent the PZT film from reacting with the Si substrate at the substrate temperature of 650 degrees C and produced the highly c-axis-oriented perovskite PZT film. The polarization-voltage hysteresis measurement showed that the PZT/YSZ/Si structure had ferroelectric properties although the leakage current was relatively large. From the results of the capacitance-voltage and the current-voltage characteristics, it was speculated that this structure had the crystalline defects or carrier traps which generated large absorption current with short relaxation time constants.

    DOI: 10.1016/S0169-4332(97)80119-5

    Web of Science

    researchmap

  • Nitrogen-doping effects on electrical, optical, and structural properties in hydrogenated amorphous silicon

    A Masuda, K Itoh, K Matsuda, Y Yonezawa, M Kumeda, T Shimizu

    JOURNAL OF APPLIED PHYSICS   81 ( 10 )   6729 - 6737   1997.5

     More details

    Language:English   Publisher:AMER INST PHYSICS  

    Electrical, optical, and structural properties of nitrogen-doped hydrogenated amorphous silicon films with the N content up to about 12 at. % are systematically studied using electrical conductivity measurements, electron-spin resonance, light-induced electron-spin resonance, constant photocurrent method, optical absorption spectrophotometry, IR absorption spectroscopy, Raman scattering spectroscopy, and x-ray photoelectron spectroscopy. Both behaviors of the dark conductivity and the charged-dangling-bond density against the N content suggest that most of charged dangling bonds originate from potential fluctuations. Only part of charged dangling bonds created by the N doping up to 2 at. % originate from positively charged fourfold-coordinated N, The decay behavior of the photoconductivity after turning off the probing light also supports that most of charged dangling bonds in N-doped hydrogenated amorphous silicon do not originate from positively charged fourfold-coordinated N. A possible origin of potential fluctuations is increased fluctuations in the net electron density at Si sites accompanying structural randomness caused by the N doping. (C) 1997 American Institute of Physics.

    DOI: 10.1063/1.365215

    Web of Science

    CiNii Article

    researchmap

  • Nitrogen- and ammonia-plasma nitridation of hydrogenated amorphous silicon

    A Masuda, S Yoshimoto, Y Yonezawa, A Morimoto, M Kumeda, T Shimizu

    APPLIED SURFACE SCIENCE   113   610 - 613   1997.4

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    Nitrogen- or ammonia-plasma nitridation of hydrogenated amorphous silicon (a-Si:H) was carried out. A nearly stoichiometric silicon nitride layer with a thickness of several tens Angstrom is formed on a Si:H, The nitride-layer thickness linearly increases with an increase in the nitridation time after a rapid increase in the early stage, The neutral-Si-dangling-bond (DB) density in the a-Si:H layer rapidly increases in the early stage of the nitridation, then it gradually decreases as the nitridation time increases. The larger increase in the neutral-Si-DB density in the early stage of the nitridation is observed in the nitrogen-plasma nitridation or al lower nitridation temperature. On the other hand, the sum of neutral- and charged-Si-DB densities in a-Si:H layer does not decrease with an increase in the nitridation time as the neutral-DB density does, suggesting that the charge injection from the nitride layer to the a-Si:H layer originating from the band bending occurs with the formation of the nitride layer on a-Si:H.

    DOI: 10.1016/S0169-4332(96)00783-0

    Web of Science

    researchmap

  • Fabrication of Pb(Zr,Ti)O<sub>3</sub>/MgO/GaN/GaAs structure for optoelectronic device applications"

    Proceedings of 2nd International Conference on Nitride Semiconductors   192   1997

     More details

  • Noise reduction of pHEMTs with plasmaless SiN passivation by catalytic CVD

    R Hattori, G Nakamura, S Nomura, T Ichise, A Masuda, H Matsumura

    GAAS IC SYMPOSIUM - 19TH ANNUAL, TECHNICAL DIGEST 1997   78 - 80   1997

     More details

    Language:English   Publisher:I E E E  

    We had improved the catalytic (cat-) CVD technique for damage free passivation on compound semiconductors. The cat-CVD SW passivation successfully reduces the Noise Figure of X-band pHEMTs because Rs and Cgs are reduced due to low deposition damage.

    Web of Science

    researchmap

  • Material properties of heteroepitaxial yttria-stabilized zirconia films with controlled Y content on Si prepared by reactive sputtering

    Proceedings of the 4th International Symposium on Sputtering & Plasma Processes   163 - 168   1997

     More details

  • Heteroepitaxial growth of YSZ films with controlled Y content on Si by reactive sputtering

    WATANABE Mikio, NARUSE Tetsuya, MASUDA Atsushi, HORITA Susumu

    IEICE technical report. Component parts and materials   96 ( 349 )   19 - 25   1996.11

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Yttria-stabilized zirconia (YSZ) films with controlled Y content were heteroepitaxially grown on n-Si (100) substrates by reactive sputtering and their material properties were investigated. It was found that the films deposited with 2.3 to 19.7at.% Y and with 1.2at.% Y had a cubic YSZ (100) structure and a monoclinic (ZrO_2)_<1-x>(Y_2O_3)_x(100)/cubic YSZ(100) structure, respectively, on Si (100) substrates. The leakage current of the film at the applied voltage of 5V was decreased to 10^<-7> A/cm^2 by reducing the Y content to 1.2 at.%. The capacitance (C)-voltage(V) characteristics of the samples showed the hysteresis curve derived from the ion drift and the hysteresis width of the sample with 1.2at.% Y was the narrowest in our study. The relative dielectric constant estimated from the C-V measurements at 1 MHz decreased from 24 to 16 with decreasing the Y content from 9.4 to 1.2 at%.

    CiNii Article

    CiNii Books

    researchmap

  • Ambient-pressure influence on droplet formation and thickness distribution in pulsed laser ablation

    A Masuda, K Matsuda, S Usui, Y Yonezawa, T Minamikawa, A Morimoto, T Shimizu

    MATERIALS SCIENCE AND ENGINEERING B-SOLID STATE MATERIALS FOR ADVANCED TECHNOLOGY   41 ( 1 )   161 - 165   1996.10

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA LAUSANNE  

    Droplet formation and inhomogeneous thickness distribution, both of which are severe drawbacks in the films deposited by pulsed laser ablation, were investigated in detail for metal-oxide films and Si films prepared in various ambient pressures. It was found that the shock front observed for the high-pressure ambient is one of the important factors of the surface roughening with clustered fragments, the shape of droplets and the increase in the thickness distribution. Oxidation of ejected materials from non-oxide target in the ambient containing a small amount of O-2 is also enhanced with the formation of the shock front by adding the high-pressure He. The ambient pressure forming no shock front is suitable for preventing the surface roughening and the severe thickness distribution.

    DOI: 10.1016/S0921-5107(96)01645-5

    Web of Science

    CiNii Article

    researchmap

  • Influence of buffer layers on lead magnesium niobate titanate thin films prepared by pulsed laser ablation

    T Nakamura, A Masuda, A Morimoto, T Shimizu

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS   35 ( 9A )   4750 - 4754   1996.9

     More details

    Language:English   Publisher:JAPAN J APPLIED PHYSICS  

    Lead magnesium niobate titanate (Pb[(Mg1/3Nb2/3)(0.9)Ti-0.1]O-3; PMN-PT) thin films were prepared on Pt/Ti/SiO2/Si substrates with buffer layers by pulsed laser ablation (PLA). Lead titanate (PT), barium titanate (BT) and barium strontium titanate (BST) were chosen as the materials of the buffer layer. The crystalline structure of the PMN-PT thin films on the buffer layers was a mixture of perovskite and pyrochlore phases. Among these samples, the PMN-PT thin films on the BST buffer layer have the highest perovskite fraction of over 95%. Average dielectric constant of the PMN-PT thin film on the BST buffer layer was about 1200. No serious interdiffusions between the bottom electrode, the buffer layer and the PMN-PT layers were observed.

    DOI: 10.1143/JJAP.35.4750

    Web of Science

    CiNii Article

    researchmap

  • N-2-plasma-nitridation effects on porous silicon

    H Yokomichi, A Masuda, Y Yonezawa, T Shimizu

    THIN SOLID FILMS   281 ( 1/2 )   568 - 571   1996.8

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA  

    Both the mechanism and the effects of plasma nitridation of porous silicon (PS) were studied using X-ray photoelectron spectroscopy (XPS), electron spin resonance (ESR) and photoluminescence (PL). The PS layers formed by anodization of (100) and (111) Si wafers were exposed to an rf N-2 plasma. The Si 2p XPS spectra in the as-grown PS exhibited signals due to both Si-Si bonds and Si-O bonds. On the other hand, the signal due to Si-N bonds was observed after nitridation. This fact shows that some of the Si-O bonds were replaced with Si-N bonds after plasma nitridation. it was also found that Pb-like ESR centers increase and the PL intensity decreases with plasma nitridation in the same manner as for light soaking of PS. Plasma nitridation processes for single-crystalline Si and for PS will also be compared.

    DOI: 10.1016/0040-6090(96)08717-2

    Web of Science

    CiNii Article

    researchmap

  • X-ray photoelectron spectroscopy and electron spin resonance studies on O-2 and N2O plasma oxidation of silicon

    A Masuda, Y Yonezawa, A Morimoto, M Kumeda, T Shimizu

    MATERIALS SCIENCE AND ENGINEERING B-SOLID STATE MATERIALS FOR ADVANCED TECHNOLOGY   39 ( 3 )   173 - 178   1996.7

     More details

    Language:English   Publisher:ELSEVIER SCIENCE SA LAUSANNE  

    O-2 and N2O plasma oxidation of(lll) and (100)Si on the anode is investigated in detail mainly using X-ray photoelectron spectroscopy (XPS) and electron spin resonance (ESR). The oxide growth rate estimated using ellipsometry is discussed. ESR observation reveals that at the SiO2-(111)Si interface formed by plasma oxidation there are Si dangling bonds similar to those in amorphous Si in addition to P-b centres. The P-b centre density at the SiO2-(111)Si interface formed by N2O plasma oxidation is comparable with that formed by thermal oxidation. It is also suggested that the oxide growth mode on Si in the initial stage is two-dimensional growth.

    DOI: 10.1016/0921-5107(96)01574-7

    Web of Science

    CiNii Article

    researchmap

  • Origin of charged dangling bonds in nitrogen-doped hydrogenated amorphous silicon

    A Masuda, K Itoh, M Kumeda, T Shimizu

    JOURNAL OF NON-CRYSTALLINE SOLIDS   200 ( Pt 1 )   395 - 398   1996.5

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    The origin of charged dangling bonds in N-doped hydrogenated amorphous silicon (a-Si:H) was investigated by measuring the dark conductivity, the light-induced electron spin resonance and the decay time of the photoconductivity after turning off the probing light. It has been supposed that, the neutral dangling bonds decrease the decay time of the photoconductivity while N donors increase it. No increase in the decay time with an increase in charged dangling bonds was observed for N doping of more than 2 at.%, suggesting that most of charged dangling bonds in N-doped a-Si:H do not originate from N donors but from structural randomness caused by the incorporation of N.

    DOI: 10.1016/0022-3093(95)00741-5

    Web of Science

    CiNii Article

    researchmap

  • Mechanism of stoichiometric deposition of volatile elements in multimetal-oxide films prepared by pulsed laser ablation

    A Masuda, K Matsuda, Y Yonezawa, A Morimoto, T Shimizu

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS   35 ( 2B )   L237 - L240   1996.2

     More details

    Language:English   Publisher:JAPAN J APPLIED PHYSICS  

    The mechanism of stoichiometric deposition of volatile elements such as Pb and Bi, which have high vapor pressures, is studied for lead zirconate titanate (PZT) films and bismuth iron garnet (BIG) films, respectively, both prepared by pulsed laser ablation in various ambients and at various temperatures. It is found that O-2 ambient plays a crucial role for the stoichiometric deposition of volatile elements at elevated deposition temperatures. O-2 ambient at a low pressure brings about deficiency of volatile elements. On the other hand, if O-2 ambient at a high pressure is employed, the composition of volatile elements is preserved because the oxide of the volatile element covers the growing surface. Pb deficiency is observed even. for films deposited at room temperature in a vacuum ambient. This phenomenon is considered to originate from the vaporization of Pb during the flight process. Therefore O-2 ambient with a moderately high pressure is important for stoichiometric deposition. O-2 ambient with excessively high pressure, however, solidifies droplets and brings about severer thickness distribution and/or a rougher surface than those formed at a moderately high pressure.

    DOI: 10.1143/jjap.35.L237

    Web of Science

    CiNii Article

    researchmap

  • Preparation and crystallographic characterizations of highly oriented Pb(Zr0.52Ti0.48)O-3 films and MgO buffer layers on (100)GaAs and (100)Si by pulsed laser ablation

    A Masuda, Y Yamanaka, M Tazoe, T Nakamura, A Morimoto, T Shimizu

    JOURNAL OF CRYSTAL GROWTH   158 ( 1-2 )   84 - 88   1996.1

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    Lead-zirconate-titanate (Pb(Zr0.52Ti0.48)O-3: PZT) thin films were prepared on both (100)GaAs and (100)Si substrates with MgO buffer layers. Both of the PZT films and MgO buffer layers were deposited by pulsed laser ablation (PLA) using an ArF excimer laser. [100]-oriented perovskite PZT films with [100]-oriented MgO buffer layers were obtained on both substrates. The microstructures of MgO buffer layers and PZT films were evaluated using a scanning electron microscope (SEM). This preparation technique offers promise of integrating ferroelectric and semiconductor devices.

    DOI: 10.1016/0022-0248(95)00317-7

    Web of Science

    CiNii Article

    researchmap

  • HIGHLY ORIENTED PB(ZR,TI)O-3 THIN-FILMS PREPARED BY PULSED-LASER ABLATION ON GAAS AND SI SUBSTRATES WITH MGO BUFFER LAYER

    A MASUDA, Y YAMANAKA, M TAZOE, Y YONEZAWA, A MORIMOTO, T SHIMIZU

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS   34 ( 9B )   5154 - 5157   1995.9

     More details

    Language:English   Publisher:JAPAN J APPLIED PHYSICS  

    Highly [100]-oriented Pb(Zr, Ti)O-3 (PZT) films were prepared on (100) GaAs and (100) Si substrates with MgO buffer layer by pulsed laser ablation (PLA), The depth profile of the constituent elements observed by X-ray photoelectron spectroscopy (XPS) shows that there are no remarkable interdiffusion and/or no formation of an alloying layer at both interfaces between PZT and MgO and between MgO and GaAs substrate. The [100]-oriented perovskite PZT films which exhibit the ferroelectric hysteresis loop were obtained on (100) Si substrate with MgO buffer laver with the thickness of only 50 Angstrom, showing that this technique promises the realization of metal-ferroelectric-semiconductor field-effect transistors (MFS-FETs).

    DOI: 10.1143/JJAP.34.5154

    Web of Science

    CiNii Article

    researchmap

  • INTERFACIAL NEUTRAL-DANGLING-BOND AND CHARGED-DANGLING-BOND DENSITIES BETWEEN HYDROGENATED AMORPHOUS-SILICON AND HYDROGENATED AMORPHOUS-SILICON NITRIDE IN TOP NITRIDE AND BOTTOM NITRIDE STRUCTURES

    H MIN, FUKUSHI, I, A MASUDA, A MORIMOTO, M KUMEDA, T SHIMIZU

    APPLIED PHYSICS LETTERS   66 ( 20 )   2718 - 2720   1995.5

     More details

    Language:English   Publisher:AMER INST PHYSICS  

    DOI: 10.1063/1.113499

    Web of Science

    CiNii Article

    researchmap

  • NH3-PLASMA-NITRIDATION PROCESS OF (100)GAAS SURFACE OBSERVED BY ANGLE-DEPENDENT X-RAY PHOTOELECTRON-SPECTROSCOPY

    A MASUDA, Y YONEZAWA, A MORIMOTO, T SHIMIZU

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   34 ( 2B )   1075 - 1079   1995.2

     More details

    Language:English   Publisher:JAPAN SOC APPLIED PHYSICS  

    X-ray photoelectron spectroscopy with varying the photoelectron take-off angle reveals the surface-nitridation process of (100) GaAs by an rf NH3 plasma with a magnetic field. The plasma treatment for shorter time or at lower temperature leads to the formation of a Ga-As-N ternary-compound layer on the GaAs surface. Increasing the treatment time or treatment temperature changes the main part of the surface layer into GaN due to the desorption of As. The oxidation resistance is also examined, showing that this plasma-nitridation method is one of the promising technologies for the passivation of (100) CaAs surface.

    DOI: 10.1143/JJAP.34.1075

    Web of Science

    CiNii Article

    researchmap

  • CORRELATION BETWEEN AC TRANSPORT AND ELECTRON-SPIN-RESONANCE IN AMORPHOUS GE-S FILMS ALLOYED WITH LEAD

    K SHIMAKAWA, T KATO, K HAYASHI, A MASUDA, M KUMEDA, T SHIMIZU

    PHILOSOPHICAL MAGAZINE B-PHYSICS OF CONDENSED MATTER STATISTICAL MECHANICS ELECTRONIC OPTICAL AND MAGNETIC PROPERTIES   70 ( 5 )   1035 - 1044   1994.11

     More details

    Language:English   Publisher:TAYLOR & FRANCIS LTD  

    Although the a.c. loss in amorphous chalcogenides has been well interpreted in terms of a model of charged defects D+ and D-, there is no direct (experimental) evidence for this. It is demonstrated for the first time that the a.c. conductivity is related to electron spin resonance and light-induced electron spin resonance in amorphous Ge-S films alloyed with Pb, showing direct evidence for defect-related a.c. transport. Alloying with Pb may result in a decrease in network flexibility, and hence the number of neutral defects D0 (positive correlation energy; positive U) increases with increasing Pb content. This may one of the reasons for the disappearance of photodarkening when a certain amount of Pb is introduced into the Ge-S system.

    Web of Science

    researchmap

  • ULTRATHIN SIO2-FILMS ON SI FORMED BY N2O-PLASMA OXIDATION TECHNIQUE

    A MASUDA, Y YONEZAWA, A MORIMOTO, M KUMEDA, T SHIMIZU

    APPLIED SURFACE SCIENCE   81 ( 3 )   277 - 280   1994.11

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    N2O-plasma oxidation of (111) and (100) Si was performed for the first time. The oxidation proceeds without conspicuous retardation compared with the case of O2-plasma oxidation. Accumulation of N atoms at or near the SiO2/Si interface was observed by X-ray photoelectron spectroscopy (XPS). It is found that the content of incorporated N atoms increases only at the early stage of the oxidation. The interfacial P(b)-center density is also evaluated by electron spin resonance.

    DOI: 10.1016/0169-4332(94)90284-4

    Web of Science

    researchmap

  • RELATIONSHIP BETWEEN ELECTRICAL-CONDUCTIVITY AND CHARGED-DANGLING-BOND DENSITY IN NITROGEN-DOPED AND PHOSPHORUS-DOPED HYDROGENATED AMORPHOUS-SILICON

    A MASUDA, K ITOH, JH ZHOU, M KUMEDA, T SHIMIZU

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS & EXPRESS LETTERS   33 ( 9B )   L1295 - L1297   1994.9

     More details

    Language:English   Publisher:JAPAN SOC APPLIED PHYSICS  

    Comparing the results for nitrogen doping in hydrogenated amorphous silicon (a-Si:H) with those for phosphorus doping, the increase in the charged-dangling-bond density estimated by equilibrium electron spin resonance (ESR) and light-induced ESR is found to have no apparent correlation with the increase in the electrical conductivity. The results for varying concentrations of nitrogen doping are also consistent with this finding. The increase of potential fluctuations due to structural disorder by nitrogen doping in an a-Si:H network appears to be the dominant origin of the increase of charged dangling bonds in the case of nitrogen doping.

    DOI: 10.1143/jjap.33.L1295

    Web of Science

    CiNii Article

    researchmap

  • ORIENTATION OF MGO THIN-FILMS ON SI(100) AND GAAS(100) PREPARED BY ELECTRON-BEAM EVAPORATION

    A MASUDA, K NASHIMOTO

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS   33 ( 6A )   L793 - L796   1994.6

     More details

    Language:English   Publisher:JAPAN J APPLIED PHYSICS  

    MgO thin films were prepared on Si(100) and GaAs(100) by electron-beam evaporation. MgO thin films with (100) orientation were obtained at 610-degrees-C with the deposition rate of 0.5 angstrom/s, and those with (111) orientation were obtained below 440-degrees-C with deposition rate higher than 8 angstrom/s, on Si substrates. (100) oriented MgO thin films, however. grew on Si at 440-degrees-C upon decreasing the deposition rate to 0.3 angstrom/s. MgO thin films with (100) orientation having cube-on-cube epitaxy were obtained on GaAs substrates at the temperature as low as 280-degrees-C even at the deposition rate of 1.4 angstrom/s.

    DOI: 10.1143/jjap.33.L793

    Web of Science

    CiNii Article

    researchmap

  • Surface nitridation process of(100)GaAs by NH<sub>3</sub>-plasma treatment with planar magnetic field

    Extended Abstracts of the 1994 International Conference on Solid State Devices and Materials   193 - 195   1994

     More details

  • SPECTROSCOPIC STUDY ON N2O-PLASMA OXIDATION OF HYDROGENATED AMORPHOUS-SILICON AND BEHAVIOR OF NITROGEN

    A MASUDA, FUKUSHI, I, Y YONEZAWA, T MINAMIKAWA, A MORIMOTO, M KUMEDA, T SHIMIZU

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS   32 ( 6A )   2794 - 2802   1993.6

     More details

    Language:English   Publisher:JAPAN J APPLIED PHYSICS  

    A novel oxidation process in hydrogenated amorphous silicon (a-Si:H) utilizing nitrous oxide (N2O) plasma was established and studied in detail. The interfacial neutral defect density for the sample prepared in this process at 300-degrees-C is greatly reduced compared with that obtained using O2 Plasma. The distribution and behavior of N incorporated in this oxide was examined in detail by means of X-ray photoelectron spectroscopy (XPS). As a result, the accumulation of N near the SiO2/a-Si:H interface was confirmed. At low oxidation temperature, the N bonded to O exists near the oxide surface. The reasons for the reduction of the interfacial neutral defect density and for the accumulation of N near the interface are also shown. It is also clarified that this process utilizes the advantages of both the effect of atomic O and the accumulation of N near the interface.

    Web of Science

    researchmap

  • NOVEL OXIDATION PROCESS OF HYDROGENATED AMORPHOUS-SILICON UTILIZING NITROUS-OXIDE PLASMA

    A MASUDA, A MORIMOTO, M KUMEDA, T SHIMIZU, Y YONEZAWA, T MINAMIKAWA

    APPLIED PHYSICS LETTERS   61 ( 7 )   816 - 818   1992.8

     More details

    Language:English   Publisher:AMER INST PHYSICS  

    A novel oxidation process in hydrogenated amorphous silicon (a-Si:H) using nitrous oxide (N2O) plasma was studied in detail for the first time. The N2O-plasma oxidized a-Si:H has an excellent interface whose interfacial defect density is largely reduced compared with the O2-plasma oxidized a-Si:H. It was elucidated that this oxide layer has almost stoichiometric composition and contains a small amount of N piling up at the interface between the oxide layer and a-Si:H layer. It also turned out that this process has less ion damage than the O2-Plasma oxidation process. The reason for the reduction of the interfacial defect density is attributed to the presence of N at the interface and/or less ion damage in this process.

    DOI: 10.1063/1.107754

    Web of Science

    CiNii Article

    researchmap

  • LIGHT-INDUCED ESR AND DISAPPEARANCE OF PHOTODARKENING IN AMORPHOUS GE-S FILMS ALLOYED WITH LEAD

    A MASUDA, M KUMEDA, A MORIMOTO, T SHIMIZU

    JOURNAL OF NON-CRYSTALLINE SOLIDS   137   985 - 988   1991.12

     More details

    Language:English   Publisher:ELSEVIER SCIENCE BV  

    It turned out that in Ge37-xPbxS63 films prepared by flash evaporation method, light-induced ESR (LESR) continues to exist up to x = 17 in spite of the disappearance of photodarkening (PD). It was also confirmed that the PD does not originate from bond-breaking based on the fact that the spins induced by the second weak irradiation after annealing following the strong irradiation do not increase as compared with those by the first weak irradiation. From the information obtained from the observed changes in square-root B-value and the valence band density of states, it is concluded that the disappearance of the PD is suggested to be caused by a change in the network structure with the lead-alloying, and such a change may be related to the disappearance of the LESR for the films with x = 20.

    Web of Science

    researchmap

  • RELATIONSHIP BETWEEN PHOTODARKENING AND LIGHT-INDUCED ESR IN AMORPHOUS GE-S FILMS ALLOYED WITH LEAD

    A MASUDA, M KUMEDA, T SHIMIZU

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS & EXPRESS LETTERS   30 ( 6B )   L1075 - L1078   1991.6

     More details

    Language:English   Publisher:JAPAN SOC APPLIED PHYSICS  

    It had been reported that lead alloying causes the disappearance of PD in Ge-S films. However, it was confirmed that LESR continues to exist in spite of the disappearance of the PD. For Ge-S films without lead, the LESR was observed by irradiation, the intensity of which is not so strong as that giving rise to the PD. It also turned out that the density of defects, including neutral and charged ones at room temperature, remains constant after strong irradiation at low temperature. From these results, it has become apparent that in Ge-S system the PD does not originate from bond-breaking.

    Web of Science

    researchmap

▶ display all

Works

  • 大面積液晶ディスプレイ用薄膜トランジスタの新規製造技術

    2001

     More details

  • Cat-CVD fabrication techniques for solar cells

    2001

     More details

  • Novel fabrication processes of thin-film transistors for large-area liquid-crystal displays

    2001

     More details

  • Cat-CVD法による太陽電池製造技術の研究開発

    2001

     More details

  • Cat-CVD法による半導体デバイス製造プロセス

    1998
    -
    2001

     More details

  • Cat-CVD fabrication processes for semiconductor devices

    1998
    -
    2001

     More details

▶ display all

Awards

  • 1998 Corning Research Grant Award

    1998  

     More details

    Country:Japan

    researchmap

  • 平成8年度 コニカ画像科学奨励賞

    1997  

     More details

    Country:Japan

    researchmap

Research Projects

  • Development of a pH sensor based on a nanostructured filter adding pH-sensitive fluorescent dye for detecting acetic acid in photovoltaic modules

    Grant number:25630438

    2013.4 - 2015.3

    System name:Grants-in-Aid for Scientific Research

    Research category:Grant-in-Aid for Challenging Exploratory Research

    Awarding organization:Japan Society for the Promotion of Science

    UMEDA Norihiro, IWAMI Kentarou, MASUDA Atsushi

      More details

    Grant amount:\4030000 ( Direct Cost: \3100000 、 Indirect Cost:\930000 )

    Acetic acid formed via hydrolysis of ethylene vinyl acetate (EVA) as an encapsulant in photovoltaic (PV) modules causes a decrease in conversion efficiency of modules by grid corrosion. To evaluate the condition of PV modules, a nondestructive and simple optical method is proposed. This method uses a dual wavelength pH-sensitive fluorescent dye to detect acetic acid in PV modules using the change in pH. The change in pH induced by the formation of acetic acid is detected by the change in the ratio of fluorescent intensities of two peaks of a dye. A pH-sensitive fluorescent dye showed sensitivity for small amounts of acetic acid such as that produced from EVA. Furthermore, a membrane filter dyed with pH-sensitive fluorescent dye was confirmed to detect acetic acid included in aged EVA after a damp heat test (85℃, 85%) for 5000 h in PV modules.

    researchmap

  • 新規な強磁性体制御高移動度MOSトランジスタの開発

    Grant number:16656199

    2004 - 2005

    System name:科学研究費助成事業

    Research category:萌芽研究

    Awarding organization:日本学術振興会

    篠崎 和夫, 脇谷 尚樹, 増田 淳, 水谷 惟恭

      More details

    Grant amount:\3600000 ( Direct Cost: \3600000 )

    電界効果型Siトランジスタのゲート直上に形成した酸化物強磁性体薄膜の残留磁化を利用して,Siトランジスタのキャリア移動度を向上する可能性を見出し,この原理を利用して,磁性体薄膜の効果により,電界効果トランジスタの特性を向上することを検証し,全く新規な高速動作型トランジスタの原理的な可能性を探った.本年度は,前年度に続いて,強磁性体をMOS FET上に再現性よく作成するプロセスの確立と,強磁性体薄膜の残留磁化がトランジスタ特性に与える影響の検討をおこない,前年度の結果を受けて,デバイス化の可能性の基礎的な検討を行った.
    Si-MOSFET基板のゲート部に,RFマグネトロンスパッタ法により、バリウムフェライトを室温で成膜した.リフトオフ法により、磁性薄膜をゲート上だけに残すべく微細加工し,800℃,10minのポストアニールにより磁気特性を引き出した.チャネル中を流れる電子が,ゲート界面に垂直な方向のSi側に力を受けるような向きに着磁し、その前後でトランジスタI_D-V_D測定を行った。ドレイン電流の増加から移動度の上昇を算出した。作製した薄膜の磁気特性はVSMを用いて評価し、pAメーターでMOSFETのI_D-V_D測定を行った。
    その結果,電磁石のon/offによる外部磁場中でのトランジスタI_D-V_D測定をもとに、一定ドレイン電圧4Vでのドレイン電流の変化量のゲート長依存性を検討した.その結果,磁束密度が大きくゲート長が短いほど、ドレイン電流の変化量が大きいことがわかった.得られたバリウムフェライト薄膜は,残留磁化が250mT、保磁力は4.4kOeであった.着磁前後のMOSFETのI_D-V_D測定の結果から,着磁後,ドレイン電流が増加した.この変化を移動度の上昇として算出すると、着磁前の450cm^2/Vsに対して,着磁後は540cm^2/Vsとなり,約20%上昇している.また,外部磁場10kOe中で10分間着磁した後リテンション測定を行ったところ,バリウムフェライトの残留磁化は10日持続することがわかった.

    researchmap

  • Novel Low-Cost Technology for Fabrication of Liquid Crystal Display

    Grant number:12792007

    2000 - 2002

    System name:Grants-in-Aid for Scientific Research

    Research category:Grant-in-Aid for University and Society Collaboration

    Awarding organization:Japan Society for the Promotion of Science

    MATSUMURA Hideki, IZUMI Akira, NITTA Koh-hei, TERANO Minoru, KIDA Ken-ichiro, MASUDA Atsushi

      More details

    Grant amount:\55300000 ( Direct Cost: \55300000 )

    The present research is concerned with a new technology for patterning process in fabricating thin film transistors (TFTs) used in liquid crystal display. Photolithography is conventionally used for this patterning process. However, a novel method are presented in this research projects, in which patterns are formed by press of a mold having 凹凸 patterns onto organic soft films laminated on substrates. By this method, low-cost pattern printing is attempted for TFT fabrication.
    Actually, by using soft organic films such as polyethylene and polysthylene, TFTs with characteristics equivalent to those fabricated by the conventional photolithography can be successfully fabricated. Additionally, during this research, a new method, in which micron-size silicon integrated circuits are attached onto substrate just like a printing ink instead of making TFT, is proposed, and its feasibility is also successfully confirmed.

    researchmap

  • 触媒化学気相成長法によるシリコン系薄膜の成長プロセス

    Grant number:11895001

    1999

    System name:科学研究費助成事業

    Research category:基盤研究(C)

    Awarding organization:日本学術振興会

    松村 英樹, 増田 淳, 和泉 亮, 梅本 宏信, 野々村 修一, 小長井 誠

      More details

    Grant amount:\3300000 ( Direct Cost: \3300000 )

    触媒化学気相成長(触媒CVD、Cat-CVD)法はホットワイアCVD法とも呼ばれ、研究代表者(松村)らによる10数年にわたる研究の結果、アモルファスシリコン膜、微(多)結晶シリコン膜、シリコン窒化膜などの形成法として有望であることが明らかになり、薄膜太陽電池、薄膜トランジスタなどの大面積デバイス用半導体膜や化合物半導体デバイス保護膜の形成手法として注目されている。このような状況下において、Cat-CVD法の研究者ならびに関連周辺分野の研究者を一同に会した国際会議を開催し、Cat-CVD法におけるシリコン系薄膜の成長機構の解明などの基礎的検討からデバイス応用にいたるまで、幅広い視点で議論するこをは極めて有益である。本研究では、国際会議開催の準備段階として、Cat-CVD法ならびに関連周辺分野の研究者で研究組織を結成し、Cat-CVD法と周辺技術の現状における問題点とその解決手段を広く調査し、平成12年度に開催予定の国際会議のプログラム編成に役立て、同会議を一層充実したものにすることを目的とした。調査の結果、ホットワイアセル法においてジシランを用いることにより堆積速度28Å/sで多結晶シリコン膜が得られること、Cat-CVD法により16.5Å/Sの高速でアモルファスシリコン膜を堆積しても初期効率9.8%の太陽電池が得られること、Cat-CVD法で作製したアモルファスシリコン膜がマイクロマシンに適用可能であることなどが明らかになった。今年度得られた調査結果は、研究代表者の主催で開催したInternational Pre-Workshop on Cat-CVD(Hot-WireCVD)Processにおいて公開し、Extended Abstractを発行した。当該Workshopには国内外から110名の参加者があり、Cat-CVD法の普及ならびに育成に貢献するとともに、Workshopでの議論は平成12年11月に開催予定の国際会議のプログラム編成に役立てることができた。

    researchmap

  • Fabrication of novel monolithic optical devices composed of blue laser diodes and ferroelectric optical waveguides

    Grant number:10650005

    1998 - 1999

    System name:Grants-in-Aid for Scientific Research

    Research category:Grant-in-Aid for Scientific Research (C)

    Awarding organization:Japan Society for the Promotion of Science

    MASUDA Atsushi, SHIMIZU Tatsuo, YAGUCHI Hiroyuki, ONABE Kentaro, MORIMOTO Akiharu

      More details

    Grant amount:\4000000 ( Direct Cost: \4000000 )

    In order to develop novel monolithic optical devices composed of blue laser diodes and ferroelectric optical waveguides, ferroelectric lead zirconate titanate (PZT) films were deposited on gallium nitride (GaN). PZT films were deposited by pulsed laser ablation on cubic GaN prepared on (001) GaAs by metalorganic vapor phase epitaxy for the first time. PZT films were preferentially [100] oriented on GaN with MgO buffer layers although PZT films were randomly oriented without MgO buffer layer. The origin for the difference is thought that MgO buffer layer acts as a diffusion barrier between PZT and GaN.Relationship between the crystallinity and the resistance against oxidation was also studied. It was found that GaN with lower crystallinity shows higher resistivity. It was also revealed that the crystallinity degrades and the surface roughness decreases both with an exposure to oxygen atmosphere around 500 ℃.

    researchmap

  • Hoteroepitaxial growth of ferroelectric thin film on Si substrate by controlling the interface

    Grant number:09450125

    1997 - 1998

    System name:Grants-in-Aid for Scientific Research

    Research category:Grant-in-Aid for Scientific Research (B)

    Awarding organization:Japan Society for the Promotion of Science

    HORITA Susumu, MASUDA Astushi

      More details

    Grant amount:\6800000 ( Direct Cost: \6800000 )

    (1) We hardly observed the difference on the C-V characteristics of the epitaxial YSZ films on Si substrates deposited by reactive sputtering with the cooling rates of 0.1 to 1000K/s at 800゚C.However, the break down characteristics were good for the films produced with the cooling rates of 1 to 10 K/s.
    (2) We observed that the stronger ion drift in the C-V characteristics of the epitaxial YSZ films on Si deposited with heavier plasma radiation.
    (3) It was found that the PZT films on epitaxial YSZ films deposited at 460 and 470゚C were heteroepitaxial monoclinic (110) PZT films. The leakage current of the monoclinic PZT film step-annealed at 300, 325 and 3500 C was less than 1X10^<-7> A/cm^2. Its C-V characteristics showed the hysteresis loop due to the ferroelectric property.
    (4) We obtained the heteroepitaxial Ir films on epitaxial (100) YSZ layers. When the deposition rates were later than 0.42 nm/min and faster than 1.2 nm/mm, the orientation of the deposited Ir films were (100) and (111), respectively, It was found that the surface crystalline quality of the epitaxial (100) Ir film was much better than that of the epitaxial YSZ film.
    (5) We obtained the epitaxial (100) and (Ill) PZT films on the epitaxial (100) Ir film at 600゚C and the epitaxial (111) Ir film at 650゚C, respectively.
    (6) When the degree of the (001) orientation of the epitaxial PZT film became stronger and the crystalline quality became better, the remanent polarization became larger and the leakage current became lower.
    (7) We found that the Si oxide layer between the YSZ layer and the Si substrate made the dielectric constant of the buffer layer decrease. This Si oxide layer formation can be suppressed by controlling the Zr+Y metal film deposition process.

    researchmap

  • Fabrication of new type transistor using metal/metaloxide/metal tunneling junction

    Grant number:08455163

    1996

    System name:Grants-in-Aid for Scientific Research

    Research category:Grant-in-Aid for Scientific Research (B)

    Awarding organization:Japan Society for the Promotion of Science

    MATSUMURA Hideki, MASUDA Atsushi, IZUMI Akira

      More details

    Grant amount:\6700000 ( Direct Cost: \6700000 )

    A new micro transistor (metal/insulator tunnel transisor ; MITT) in which tunnel currents are controlled by a gate electrode has been already proposed. This work is to present new micro-technology to realize such micro-transistor, MITT.In the technology, nano-meter-thick TiOx grown laterally at the edge of titanium thin film is utilized to draw patterns in a mask. Formation of metal/insulator/metal structure with only 10 nm-width insulator is succeeded by this new technology.

    researchmap

  • Heteroepitaxial growth of oxide thin film on Si substrate by controlling the interface

    Grant number:07650362

    1995 - 1996

    System name:Grants-in-Aid for Scientific Research

    Research category:Grant-in-Aid for Scientific Research (C)

    Awarding organization:Japan Society for the Promotion of Science

    HORITA Susumu, MASUDA Astushi

      More details

    Grant amount:\2100000 ( Direct Cost: \2100000 )

    After the Y content dependence of YSZ film material properties was investigated, we confirmed usefulness of the YSZ buffer layr for the PZT film on Si.
    (1) 100-nm-thick cubic YSZ films with Y content ratios R_Y=2.3-19.7 at.% were heteroepitaxially grown on Si (100) at 800゚C and the crystal phase was kept at room temperature. Also, YSZ films with R_Y=1.2 at.% were kept to be cubic phase even at room temperature until their thickness were 20 nm. However, they were monoclinic at room temperature and were cubic at 800゚C when their thickness was more than 30 nm.
    (2) In the case of ZrO_2 without Y content, the 10-nm-thick (100) film grew heteroepitaxially on Si (100) substrate and the 100-nm-thick film had monoclinic (100) oriented grains which is about 9゚ off from the surface of the substrate.
    (3) We obtain electric characteristics of the YSZ films as follows : When the Y content was decreased and cubic phase was kept, the leakage current and the hysteresis width of the C (capacitance) -V (voltage) curve were increased. The hysteresis was due to ion drift. However, further decreasing Y content so that the crystal phase of the film was changed to monoclinic, the leakage current and the hysteresis width were decreased. This is probably because the crystalline quality of the film was degraded by decreasing the Y content in the state of the cubic phase.
    (4) When PZT film was deposited on the 10-nm-thick YSZ film with R_Y=9.4At.%, no reaction was found between the Si substrate and the PZT film and the ferroelectric property was observed. But, since the thickness of the YSZ film is not thin enough to reduce the operation voltage to 3V,we need to decrease its thickness and to improve the material quality of the film.

    researchmap

  • Integration Technique of Oxide Ferroelectric Thin Films and Semiconductors

      More details

    Grant type:Competitive

    researchmap

  • Mechanism of Pulsed Laser Ablation

      More details

    Grant type:Competitive

    researchmap

  • Characterization of Amorphous and Polycrystalline Silicon Films Prepared by Catalytic Chemical Vapor Deposition

      More details

    Grant type:Competitive

    researchmap

  • Thin-film formation by catalytic chemical vapor deposition

      More details

    Grant type:Competitive

    researchmap

  • Thin film silicon solar cells

      More details

    Grant type:Competitive

    researchmap

  • Thin-film transistors

      More details

    Grant type:Competitive

    researchmap

  • 酸化物強誘電体薄膜と半導体の集積化技術

      More details

    Grant type:Competitive

    researchmap

  • レーザアブレーションの機構解明

      More details

    Grant type:Competitive

    researchmap

  • 触媒化学気相成長により堆積したアモルファスならびに多結晶シリコン薄膜の評価

      More details

    Grant type:Competitive

    researchmap

  • 触媒化学気相成長法による薄膜作製

      More details

    Grant type:Competitive

    researchmap

  • 薄膜シリコン太陽電池

      More details

    Grant type:Competitive

    researchmap

  • 薄膜トランジスタ

      More details

    Grant type:Competitive

    researchmap

▶ display all

 

Teaching Experience

  • ロジカルスピーキング

    2023
    Institution name:新潟大学

  • 科学技術表現法

    2023
    Institution name:新潟大学

  • ソーラー水素エネルギー概論

    2023
    Institution name:新潟大学

  • 物理工学IV

    2023
    Institution name:新潟大学

  • 総合工学概論

    2022
    Institution name:新潟大学

  • 卒業研究

    2022
    Institution name:新潟大学

  • 電子光デバイス特論

    2022
    Institution name:新潟大学

  • 論文輪講II

    2022
    Institution name:新潟大学

  • 卒業研修

    2022
    Institution name:新潟大学

  • 太陽光発電工学特論

    2022
    Institution name:新潟大学

  • 論文輪講I

    2022
    Institution name:新潟大学

  • 産業技術政策特論

    2022
    Institution name:新潟大学

  • ディベートI

    2021
    Institution name:新潟大学

  • 技術者としてのキャリア形成入門演習

    2021
    Institution name:新潟大学

  • 協創経営概論

    2020
    Institution name:新潟大学

  • 総合技術科学演習

    2020
    Institution name:新潟大学

  • 課題解決インターンシップII

    2020
    Institution name:新潟大学

  • 課題解決インターンシップIII

    2020
    Institution name:新潟大学

  • リメディアル演習

    2020
    Institution name:新潟大学

  • ロジカルライティング

    2020
    -
    2022
    Institution name:新潟大学

  • キャリアデザイン・インターンシップII

    2020
    -
    2022
    Institution name:新潟大学

  • 工学リテラシー入門(融合領域分野)

    2020
    -
    2021
    Institution name:新潟大学

  • 課題解決インターンシップI

    2020
    -
    2021
    Institution name:新潟大学

  • キャリアデザイン・インターンシップI

    2020
    -
    2021
    Institution name:新潟大学

  • ディベートIII

    2020
    Institution name:新潟大学

▶ display all